EE 367 Lab Part 1: Sequential Logic

Size: px
Start display at page:

Download "EE 367 Lab Part 1: Sequential Logic"

Transcription

1 EE367: Introduction to Microprocessors Section 1.0 EE 367 Lab Part 1: Sequential Logic Contents 1 Preface Things you need to do before arriving in the Laboratory Summary of material covered in Part Pre-lab questions, part 1 (due at the beginning of the second lab session) Tutorial: The flip-flop, work-horse of a microprocessor Operation of the Rising Edge Triggered Flip-Flop Timing iagram Tutorial: Latch-Logic-Latch Circuits Latch-Logic-Latch Operation: Latch-Logic-Latch and a microprocessor instruction Construction: Flip-Flop Circuit Construction practices and quality Assembly Your Bread Board Connect the Power Supply Install Components Pin numbering and connections for an integrated circuit Wire the circuit of figure Wiring Attach LEs for monitoring Arranging LEs for monitoring Set and Clear the flip flop Probing with the multimeter and logic probe Test and emonstrate 17 6 Post-Lab uestions, Part 1 (due 1 week after you complete the evaluation sheet for Lab Part 1) 17 1 Preface In this laboratory exercise you will build and test a simple Flip-Flop circuit using the 74LS74 logic chip. You will examine the function of clock signals, synchronous and asynchronous inputs, and other aspects of clocked logic. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-1

2 EE367: Introduction to Microprocessors Section 2.0 The circuits you build this week are temporary, at the end of Part 1 you will remove all of the components. Starting with Part 2, the circuits you build will be part of the Really Small Processor (the RSP), which you will be developing and programming throughout the semester. 1.1 Things you need to do before arriving in the Laboratory Acquire the EE 367 Laboratory Manual (this document), EE 367 Reference Materials and EE 367 parts kit. Read this Handout Answer the Pre-lab questions, section 1.3. Answers to the Pre-lab questions are due at the beginning of your second lab section. Review the EE 367 Laboratory Reference Materials. Materials is required at several points in Lab Part 1. Information from the Reference Read and consider the questions at the end of this handout. 1.2 Summary of material covered in Part 1 Tutorial: The Flip-Flop, Work-Horse of a Micro-Processor Tutorial: Latch-Logic-Latch Circuits Construction: Flip-Flop Circuit 1.3 Pre-lab questions, part 1 (due at the beginning of the second lab session) First read the tutorial sections, sections 2 and (3 Points) raw a timing diagram similar to the practical case of figure 5, below, for the case where signal A 0 makes its transition first. Note: For each timing diagram that you draw, be sure that subsequent events appear to the right of causative events, and show causality arrows. 2. (3 Points) True or False: A logic circuit can be designed so that two or more signals will consistently arrive at the inputs of a logic gate at exactly the same time. 3. (4 Points) Consider the example latch-logic-latch cycle with the transition A 0 = 0, B 0 = 0 A 0 = 1, B 0 = 1, (a) What are the correct beginning and ending outputs? Make a table like table 2, below, for this transition. (b) etermine a transient signal that might arise with this transition. raw a timing diagram similar to figure 5 showing the inputs and outputs with possible transient signals. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-2

3 EE367: Introduction to Microprocessors Section Tutorial: The flip-flop, work-horse of a microprocessor igital systems, such as computers, store and manipulate data. ata manipulations, such as adding two numbers, are the work of combinatoric logic such as AN or OR gates. Storing data is the work of sequential logic, which is to say flip-flops. The flip-flop is the most commonly used flipflop. It is the work-horse of microprocessors and many other electronic systems. The schematic symbol of a flip-flop is illustrated in figure 1. Set ata Set Output Clock Inverted Output Clear Clear -- 4 Inputs Outputs Flip-Flip ---- Figure 1: The 74LS74 rising edge triggered flip-flop has 4 inputs and 2 outputs. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-3

4 EE367: Introduction to Microprocessors Section Operation of the Rising Edge Triggered Flip-Flop Flip-flops have synchronous and asynchronous inputs. Synchronous Inputs: Clock and -input. The timing of output actions corresponding to these inputs is controlled by and synchronized with the clock input. As the name suggests, data is transferred from the input to the output at the instant of the rising edge of the clock signal. Asynchronous Inputs: Set and Clear. Output actions corresponding to asynchronous inputs occur immediately, no matter what the clock. Typically, set, clear or reset inputs will act asynchronously. Active Low Signals: The signal may be called set-bar or clear-bar, indicating that the input causes the named action when the signal is low. The signal is said to be exerted or activated when it causes its output. Thus the signal Set is exerted when 0 volts are applied to the Set pin. Signals of this type are called Active Low or Negative Logic signals. While active low signals can be a bit confusing at first, in fact the majority of control signals (such as Set and Clear) are active low. This is because transistor-transistor-logic (TTL) is engineered to take essentially zero power to exert a high, whereas some power is required to exert a low. Since signals like Set and Clear are more often released than exerted, power is saved by making them active low. The truth table of the 74LS74 rising edge triggered flip-flop is seen in table 1. As seen in the table, the asynchronous inputs Set and Clear dominate: when these signals are exerted the output is set or cleared. Signals and Clock are marked X, or don t care because it doesn t matter what the or clock inputs are if either set or clear is exerted. It is also seen that exerting Set and Clear simultaneously is not allowed, doing so will give unpredictable results. The set and clear signals are used, for example, to initialize each flip-flop when a microprocessor is reset. Otherwise, neither Set nor Clear is exerted and the and Clock inputs take over. On the rising edge of the clock signal, the -input value is stored in the flip-flop and exerted at the output. If the clock is high, low or a falling edge, the internal state and output do not change. Background 2.2 Timing iagram Because flip-flops store data, time and what is stored at each instant in time are essential to understanding and engineering their operation. This is why the operation of sequential logic is often illustrated with a timing diagram, such as figure 2. In the timing diagram, causality is shown by a link made with a curved arrow. The input(s) at the tail of the arrow cause the output(s) at the head of the arrow. Events in the timing diagram of figure 2 are marked A... M, and are described below. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-4

5 EE367: Introduction to Microprocessors Section 2.2 Item Action Input Signals Outputs Set Clear Clock 1 Set 0 1 X X Clear 1 0 X X Not Allowed 0 0 X X Clock (=0) Clock (=1) Otherwise 1 1 X 1, 0, 0 0 Legend: X on t care - Output indeterminate 0, 0 Previous (stored) values Rising edge Falling edge Table 1: Truth table of the 74LS74 rising-edge triggered flip-flop. B C E F G H J K L M Clock Set CL A Time Figure 2: Example timing diagram. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-5

6 EE367: Introduction to Microprocessors Section 3.0 A. The output is indicated to be either a 1 or a 0; depending on unknown prior signals. B. The Set signal goes low, setting the output to 1 (high, or +5 volts); goes to 0 (low, or 0 volts). C. The data signal changes, but the output does not change, because only determines the output on a rising clock edge.. is high on the rising edge of the clock, and so remains high. E. is low on the rising edge of the clock, and so goes low. goes high. F. The input changes from low to high to low, but there is no rising edge of the clock during this interval, and so the input has no effect on the output. G. is high on the rising edge of the clock, and so goes high. goes low. H. Again, there is no rising clock edge, so the input does not influence the output. J. The Clear signal is exerted, so goes low. Notice that the tail of the arrow marks the level, not the edge. It is only the clock input which exerts its influence with an edge. K. The clock has a rising edge; but the Clear signal is exerted, so the clock and signals have no influence. L. Clear has been released, at L Set is exerted, and so goes high. goes low. M. Set has been released, and so the and clock inputs control the output. 3 Tutorial: Latch-Logic-Latch Circuits To understand why the flip-flop is the workhorse of many systems, one must understand why the latch-logic-latch configuration is so often used. To understand why the latch-logic-latch is used, one must understand the transient signals that combinatoric logic can generate. First, three definitions: A Latch: (noun) one or several flip-flops which can store data. ata at the inputs are read at specific instants in time, and stored until cleared or replaced with new data. See the 74LS273 or 75LS374 data sheets, in the Reference Materials. Some authors make a distinction between a latch and a flip-flop based on whether the device is level or edge triggered. We do not make this distinction. A latch is any device which may latch data (see to latch below). An example 8-bit latch is seen in figure 3. It consists of 8 flip-flops in parallel. The flipflops are clocked together and may be reset together. Two such latches are used in the Really Small Processor. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-6

7 EE367: Introduction to Microprocessors Section 3.0 ata Input Signals Clock Input CP Master Reset MR CL CL CL CL CL CL CL CL Latched Output Signals 8-Bit Latch with Master Reset, 74LS273 Figure 3: 8-bit latch with master reset. To Latch: (verb) to latch is to store data into a device containing one or (usually) a parallel bank of flip-flops, as in the data are latched into the F register. ata are stored at a specific instant, controlled by a clock signal. When the clock signal causes the data to be recorded, we say the data are latched ; the usage latch the data indicates to clock the flip-flop, and cause the data to be stored. Register: a collection of flip-flops which can store a word of data, for example 8, 16, 32 or 64 bits. A register is an example of a latch (noun). The distinction comes in how the data are used. We refer to a parallel bank of flip-flops as a register if the data held is processed (say by addition or subtraction or incremented). We refer to a parallel bank of flip-flops as a latch if the data simply held for later transfer to another location (no processing). One can say: clock the register to latch the data. You will work extensively with three different sets of registers this semester: Processor Registers: registers of the Programmers Model; these registers are explicitly activated by PIC18 assembly language instructions. They are the W and Status registers. I/O Registers: registers at addresses F80h to FFFh which control PIC18 input and output, including Port A (F80h), Port B (F81h) and Port C (F82h). RSP Registers: two registers you will build in Lab Part 4, Reg F and Reg G. Consider the one-bit adder circuit of figure 4. This circuit is called a one-bit (binary) adder because output signal S 0 is the sum of input bits A 0 and B 0, while output signal C 0 indicates whether there should be a carry. In a processor, signals A 0 and B 0 are the 0 th bits of adder input words A and B; signals S 0 and C 0 are the 0 th bits of the sum and carry outputs, respectively. An 8-bit adder will have bits 0 7. Table 2 indicates a transition of the inputs and outputs of the adder circuit. In state n, the input is A 0 = 1, B 0 = 0 and the output sum is 1, and the carry is 0. State n+1 is as shown. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-7

8 EE367: Introduction to Microprocessors Section 3.0 B 0 A 0 S 0 A 0 B 0 S 0 C C 0 Figure 4: One-bit adder; operands: A 0, B 0 ; sum output: S 0 ; carry output: C 0 A 0 B 0 S 0 C 0 State n State n Table 2: Signals corresponding to a 1-bit adder and an input transition. A 0 A 0 B 0 B 0 S 0 S 0 C 0 Ideal C 0 Practical Figure 5: Practical combinatoric logic circuits have propagation delay and produce transient pulses. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-8

9 EE367: Introduction to Microprocessors Section 3.1 Ideally, the input signals change instantly to their new values, and the output signals change instantly to the new result. For the ideal timing shown in figure 5, the transitions occur instantaneously and together. But practically, the transitions occur with some slope and may be shifted in time. As the diagram shows, shifted signals can result in brief pulses, called transient or glitch signals, in the output. These transients carry no useful information and may cause incorrect signals in subsequent logic circuits. Time shifts of the input signals, such as seen on the practical side in figure 5, can arise for many reasons: because the signals come from different sources, or one gate may react more rapidly than another, or one connection may have more capacitance or less resistance, or because wire lengths might be different. Practically speaking, no two pulses ever arrive perfectly simultaneously. Combinatoric logic always produces glitch pulses. The latch-logic-latch cycle is used to ensure that the outputs of the logic circuit are read only after all 3.1 Latch-Logic-Latch Operation: A latch-logic-latch configuration for the one-bit adder is shown in figure 6 with timing diagram in figure 7. The latches on the input and output of the logic circuit control when signals A 1 0, B1 0, S 0 and C 0 can change. The inputs to the logic, signals A 1 0 and B1 0, can change only on the rising edge of data clock signal k d ; while the (latched) output signals, S 0 and C 0, can change only on the rising edge of results clock signal k r. A 0 B 0 C 1 C 0 0 k d ata Latch Clock A ata Latch B ata Latch A 1 0 B 1 0 k r S 1 0 Result Latch Clock Result Latch Result Latch Figure 6: One-bit adder; operands latched, result latched. S 0 EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-9

10 EE367: Introduction to Microprocessors Section 3.1 A B C E F G Asynch. Inputs A 0 B 0 k d Latched Inputs A 1 0 B 1 0 Asynch. Result S 1 0 C 1 0 * * k r Latched Result S 0 C 0 Latch Logic Latch Input ata Latches Clocked ^ ^ Result Latches Clocked * Transient Signals Figure 7: Timing diagram for the one-bit adder; operands latched, result latched. Signal k d clocks the data latches; signal k r clocks the result latches. Several important moments in the timing diagram are annotated in figure 7: A. At A, some time before the clock signal K d, it does not matter what inputs A 0 and B 0 are. B. At B, data signals A 0 and B 0 stabilize to the values to be processed during the current clock cycle. Signals A 0 and B 0 must hold steady values during the setup time of the flip-flops. C. At C, the input data is clocked into the Input ata Latches by clock signal k d.. After the propagation delay of the latches, data signals A 1 0 and B1 0 are presented to the logic circuit. No two logic signals are ever perfectly simultaneous, and so the combinatoric logic may produce transient pulses in signals S0 1 and C1 0. E. After an interval sufficient for all propagation delays, all transient signals have died out and logic output signals S0 1 and C1 0 become steady and hold their final values. These values must persist on the Result Latch inputs for the flip-flop setup time. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-10

11 EE367: Introduction to Microprocessors Section 4.3 F. Result Latch Clock Signal k r clocks the result latch so that the logic output signals S0 1 and C0 1 are latched into the Result Latches. G. After a propagation delay, the outputs of the Result Latch, signals S 0 and C 0 are guaranteed to be valid. The sequence of steps A-G may seem complex at first. It is detailed, but the essential actions are: Latch: Logic: Latch: latch the input data, after all propagation delays steady data will be presented to the logic circuit. do the needed logical operation on the latched input data. After sufficient time all glitch pulses have passed. latch the result, so that a steady result can be presented to the next logic stage. While the sequence may seem complex, designing clocked logic is actually very straight forward, because signals march through the circuit in a well controlled way. 3.2 Latch-Logic-Latch and a microprocessor instruction Microprocessors execute assembly language instructions, which are the low-level commands which the silicon itself can execute. Most assembly language instructions are executed with one or several latch-logic-latch cycles. The data to be processed is latched into registers and held at steady values; combinatoric logic processes the data (such as logic for add with carry); and - after a suitable propagation delay - the result is latched into a register. The clock rate of the processor is the reciprocal of the time between k d and k r in figure 7. For example, the 16.0 mega-hertz processor clock of the PIC18 microprocessor allows nanoseconds between the data latch clock pulse and the results latch clock pulse. 4 Construction: Flip-Flop Circuit 4.1 Construction practices and quality Read the section: Guidelines for Breadboard Construction in the EE 367 Laboratory Introduction. Your work will be evaluated in part on the criteria specified there. uality construction, furthermore, will reduce your debugging challenges. Pay particular attention to the wiring color code. Correct color coding will aid in debugging later, and is required. Read the section: ebugging Strategies in the EE 367 Laboratory Introduction. It provides some insight that relates to construction quality and debugging. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-11

12 EE367: Introduction to Microprocessors Section Assembly Your Bread Board Bananna plugs must be attached correctly, so that only plastic touches the metal. 4.3 Connect the Power Supply See the bread board layout diagram, figure 8. (1) Assemble your breadboard. Put the green terminal in the V ground position, and red terminal in the V cc position. Also install the other 2 terminals. (a) Connect ground to PSS-2B. (b) Connect V cc to PSS-2R. Note: Accidentally reversing the power supply connection can destroy every chip in the circuit. Always wire +5V connections with Red, ground connections with Green, and always connect the power supply correctly. (c) If the +5 volt supply of your power supply is adjustable, use the multimeter to set the power supply voltage to +5 volts. Measure from a test point on PSS-2R to ground on PSS-2B. Note: It is handy to know if power is on or off. Connect a red LE across the terminals of the PSS-2 bus. The LE leads also provide a place to touch the multimeter leads. (2) The 2-wide bread-board strips are power distribution strips. Connect each of the red strips to +5V and each of the blue strips to Ground. Note: Always use the most direct routes and square corners for your wiring. See the construction guidelines in the Laboratory Introduction. In this case, the shortest route is to connect each power distribution strip to the PSS-2 bus. (3) Before installing any components, check the power supply voltages on all of the power distribution strips. Whenever any electronic device does something it shouldn t, a good thing to check first is the power supply voltage. Trouble Shooting Tip EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-12

13 EE367: Introduction to Microprocessors Section 4.3 a b c d e f g h i j SW st J 3 IP Switch 5 (Grn) (Grn) (Red) (Yel) SW cl SW 10 SW ck 15 LE st LE cl 20 LE LE ck 25 ** 1 14 J (Red) (Red) 30 LE LE 35 7 LS J LS B R B R B 2 ** Momentary contact (pushbutton) switch Figure 8: Bread board layout for Sequential Logic experiment (etail). EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-13

14 EE367: Introduction to Microprocessors Section 4.3 Green * +5V J 1,1 LE st +5v 1 2 SW st SW ** SW ck * * +5V +5V 11 +5V * J 1, J 1,5 10 J 1,2 Red LE Yellow LE ck +5v 2 +5v 3 Green LE cl +5v 4 Set Clear 1 J 2,1 Output 5 Inverted Output 6 J 1,3 5 6 J 1,4 9 8 Red LE LE Red +5v +5v 3 4 SW cl * 2K - 20K Ω ** Momentary Contact Switch Note: Current limiting resistor built into EE-367 specified LE. Legend: 1 pin number. Figure 9: Sequential logic schematic. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-14

15 EE367: Introduction to Microprocessors Section Install Components Install Integrated Circuits (ICs) J 1, J 2 and J 3 as seen in figure 8. Follow the layout shown in figure 8 exactly Pin numbering and connections for an integrated circuit To function correctly, the connections to an integrated circuit must be made to the correct pins. A top view of 14, 16 and 20 pin IC s is seen in figure 10. Note: pin numbering 14-pin IC 16-pin IC 20-pin IC (with notch) (with dimple) (with notch) GN V cc V cc GN GN V cc Figure 10: IC pin numbering. Top view of standard IC s. The pins are numbered counter-clockwise, with a mark (most often a notch or dimple on the chip) indicating the position of pin 1. Two pins are for the power connections to ground and V cc ; these are typically in the lower- Power left and upper-right corners. V cc is +5 volts for LS series chips. (V cc can range from 1.8 Supply to 15 volts for other types of chips.) Connections The power supply must be connected, or the chip won t work. The chip uses active transistors to drive the output; and these require power. 4.5 Wire the circuit of figure Wiring (1) Note that signal pin numbers are given in figure 9. By convention, the power supply pins on t are not indicated; but, of course, the V cc (+5V) and GN connections must also be wired to each chip. forget V cc and GN Power supply wiring doesn t change, whereas other wiring undergoes changes or corrections. If the power supply wires are installed first, they will be below and out of the way of on each chip. other wiring. Construction EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-15 Tip

16 EE367: Introduction to Microprocessors Section 5.0 (2) Figure 9 shows four signals generated by switches. The assignment of signals to switches is seen in the layout diagram, figure 8. (a) Signals Set, Clear and are generated by switches 6, 7 and 8 of the 8-position IP switch. A schematic of the IP switch is provided in the Laboratory Introduction. (b) The clock signal is generated by momentary contact, or push-button switch. Note: Pin numbers are given for the circuit of lab part 1. For subsequent circuits, students will determine the pin numbers. 4.6 Attach LEs for monitoring Arranging LEs for monitoring Your kit includes Red, Green and Yellow LEs. These LEs have internal current limiting resistors, and may be connected directly to the outputs of the TTL ICs. A driver IC (J 1 in figures 8 and 9) is used to drive the LEs. Note that the driver is an inverter and the LE anode is wired to +5 volts. When the signal, for example Set at J 1 pin 1, is high, the output of the driver goes low, and the LE illuminates. TTL devices can generally sink much more current than they can source using the inverter as a driver takes advantage of this characteristic. Background Set and Clear the flip flop Using the IP switches, generate set and clear signals to set and clear the flip-flop. Monitor the flip-flop output with the LEs. 4.7 Probing with the multimeter and logic probe Later we will work with more complex circuits and will not have LEs on every signal line, and so the multimeter and logic probe will be valuable tools. (1) Using the multimeter measure these voltages (see question 1, below): (a) The power supply voltage. (b) Set the flip flop and measure the voltage of the output when the output is high (a logic one, but probably less than 5 volts). (c) Clear the flip flop and measure the voltage of the output when the output is low (a logic zero, but probably more than 0 volts). (2) Using the logic probe, observe the clock signal generated with the momentary contact switch. EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-16

17 EE367: Introduction to Microprocessors Section Test and emonstrate (1) Test and demonstrate the operation of your circuit by exercising each of the ways to activate the output: (a) With a clear signal; (b) With a Set signal; (c) With a rising clock edge and = 0; (d) With a rising clock edge and = 1. (2) Using the input switches, generate the input signals of the timing diagram of figure 2. (3) Make up and test an input sequence (see question 2, below). (a) Make up a sequence of input signals different from that shown in figure 2, and which demonstrates actions (1a)-(1d), above. (b) raw a timing diagram showing the input signals (the top 4 signals of figure 2) for your input sequence; and complete the diagram with the corresponding output signals, based on you knowledge of the operation of the rising edge triggered flip-flop. (c) Apply your input signals, record the observed flip-flop response in two additional lines in the timing diagram. 6 Post-Lab uestions, Part 1 (due 1 week after you complete the evaluation sheet for Lab Part 1) Some of the questions below are meant to be challenging problems requiring thought about logic circuits and why systems are designed as they are. Feel free to ask for assistance from the TA s or Instructor as you work through the questions below. Note that the student s process of formulating and asking a question is itself a part of the learning process. (1) (6 points) What are your voltage measurements made in section 4.7? Are the voltages you measured on the output valid low and high voltages? (2) (8 points) Include the timing diagram you drew in section 5 item 3, above. id operation of the circuit verify the timing diagram you drew? If not, why not? Is the flip-flop triggered when you depress switch SW ck or release it? Why? EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-17

18 EE367: Introduction to Microprocessors Section 6.0 (3) (6 points) A 1-bit adder is shown in figure 4. (a) What are the names of the 2 gates? (b) Write the truth table for the circuit. (4) (Extra Credit) Section 3.2 discusses the need and importance of clocked logic for a micro-processor. However, clocked logic is not without its costs. Consider the issue, and write a paragraph discussing what costs clocked logic might incur and indicating how you might solve the problem of transient signals without a clock. Suggested reading: Computers Without Clocks, by Ivan E. Sutherland and Jo Ebergen, Scientific American, August EE-367 Lab Part 1: Sequential Logic (Revised: Jan 23, 2016) Page 1-18

Laboratory 7. Lab 7. Digital Circuits - Logic and Latching

Laboratory 7. Lab 7. Digital Circuits - Logic and Latching Laboratory 7 igital Circuits - Logic and Latching Required Components: 1 330 resistor 4 resistor 2 0.1 F capacitor 1 2N3904 small signal transistor 1 LE 1 7408 AN gate IC 1 7474 positive edge triggered

More information

EXPERIMENT #6 DIGITAL BASICS

EXPERIMENT #6 DIGITAL BASICS EXPERIMENT #6 DIGITL SICS Digital electronics is based on the binary number system. Instead of having signals which can vary continuously as in analog circuits, digital signals are characterized by only

More information

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore)

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore) Laboratory 10 Digital Circuits - Logic and Latching (modified from lab text by Alciatore) Required Components: 1x 330 resistor 4x 1k resistor 2x 0.F capacitor 1x 2N3904 small signal transistor 1x LED 1x

More information

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH CPE 200L LABORATORY 3: SEUENTIAL LOGIC CIRCUITS DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Learn to use Function Generator and Oscilloscope on the breadboard.

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

Digital Circuits I and II Nov. 17, 1999

Digital Circuits I and II Nov. 17, 1999 Physics 623 Digital Circuits I and II Nov. 17, 1999 Digital Circuits I 1 Purpose To introduce the basic principles of digital circuitry. To understand the small signal response of various gates and circuits

More information

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge Topic 1.3.2 -type Flip-flops. Learning Objectives: At the end of this topic you will be able to; raw a timing diagram to illustrate the significance of edge triggering; raw a timing diagram to illustrate

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date: Richland College School of Engineering & Technology Rev. 0 B. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. Bradbury Rev. 3 (7/2015) J. Bradbury Digital Fundamentals CETT 1425 Lab 5 Latches & Flip-Flops

More information

The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab

The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab Experiment #5 Shift Registers, Counters, and Their Architecture 1. Introduction: In Laboratory Exercise # 4,

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

Chapter 4: One-Shots, Counters, and Clocks

Chapter 4: One-Shots, Counters, and Clocks Chapter 4: One-Shots, Counters, and Clocks I. The Monostable Multivibrator (One-Shot) The timing pulse is one of the most common elements of laboratory electronics. Pulses can control logical sequences

More information

Last time, we saw how latches can be used as memory in a circuit

Last time, we saw how latches can be used as memory in a circuit Flip-Flops Last time, we saw how latches can be used as memory in a circuit Latches introduce new problems: We need to know when to enable a latch We also need to quickly disable a latch In other words,

More information

Experiment # 4 Counters and Logic Analyzer

Experiment # 4 Counters and Logic Analyzer EE20L - Introduction to Digital Circuits Experiment # 4. Synopsis: Experiment # 4 Counters and Logic Analyzer In this lab we will build an up-counter and a down-counter using 74LS76A - Flip Flops. The

More information

Digital Fundamentals

Digital Fundamentals igital Fundamentals Tenth Edition Floyd Chapter 7 Modified by Yuttapong Jiraraksopakun Floyd, igital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 Summary Latches A latch is a temporary

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops DLHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 0 Experiment - Latches and Flip-Flops Objectives:. To implement an RS latch memory element. To implement a JK

More information

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department EE162 Digital Circuit Design Fall 2012 OBJECTIVES: Lab 5: Latches & Flip-Flops The objective of this lab is to examine and understand

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic -A Sequential Circuit consists of a combinational circuit to which storage elements are connected to form a feedback path. The storage elements are devices capable of storing

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

Figure 7.8 Circuit Schematic with Switches, Logic Gate, and Flip-flop

Figure 7.8 Circuit Schematic with Switches, Logic Gate, and Flip-flop 7.5 Laboratory Procedure / Summary Sheet Group: Names: (1) Using the datasheet pin-out diagrams (Figures 7.5 through 7.7), draw a complete and detailed wiring diagram (showing all connections and all pin

More information

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #3 Flip Flop Storage

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Fundamentals Of Digital Logic 1 Our Goal Understand Fundamentals and basics Concepts How computers work at the lowest level Avoid whenever possible Complexity Implementation

More information

INC 253 Digital and electronics laboratory I

INC 253 Digital and electronics laboratory I INC 253 Digital and electronics laboratory I Laboratory 9 Sequential Circuit Author: ID Co-Authors: 1. ID 2. ID 3. ID Experiment Date: Report received Date: Comments For Instructor Full Marks Pre lab 10

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Assignment 2b. ASSIGNMENT 2b. due at the start of class, Wednesday Sept 25.

Assignment 2b. ASSIGNMENT 2b. due at the start of class, Wednesday Sept 25. ASSIGNMENT 2b due at the start of class, Wednesday Sept 25. For each section of the assignment, the work that you are supposed to turn in is indicated in italics at the end of each problem or sub-problem.

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

Microcontrollers and Interfacing week 7 exercises

Microcontrollers and Interfacing week 7 exercises SERIL TO PRLLEL CONVERSION Serial to parallel conversion Microcontrollers and Interfacing week exercises Using many LEs (e.g., several seven-segment displays or bar graphs) is difficult, because only a

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

INTRODUCTION (EE2499_Introduction.doc revised 1/1/18)

INTRODUCTION (EE2499_Introduction.doc revised 1/1/18) INTRODUCTION (EE2499_Introduction.doc revised 1/1/18) A. PARTS AND TOOLS: This lab involves designing, building, and testing circuits using design concepts from the Digital Logic course EE-2440. A locker

More information

Sequential Logic Basics

Sequential Logic Basics Sequential Logic Basics Unlike Combinational Logic circuits that change state depending upon the actual signals being applied to their inputs at that time, Sequential Logic circuits have some form of inherent

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Digital Circuits 4: Sequential Circuits

Digital Circuits 4: Sequential Circuits Digital Circuits 4: Sequential Circuits Created by Dave Astels Last updated on 2018-04-20 07:42:42 PM UTC Guide Contents Guide Contents Overview Sequential Circuits Onward Flip-Flops R-S Flip Flop Level

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

successive approximation register (SAR) Q digital estimate

successive approximation register (SAR) Q digital estimate Physics 5 Lab 4 Analog / igital Conversion The goal of this lab is to construct a successive approximation analog-to-digital converter (AC). The block diagram of such a converter is shown below. CLK comparator

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Sequential Logic and Clocked Circuits

Sequential Logic and Clocked Circuits Sequential Logic and Clocked Circuits Clock or Timing Device Input Variables State or Memory Element Combinational Logic Elements From combinational logic, we move on to sequential logic. Sequential logic

More information

Physics 217A LAB 4 Spring 2016 Shift Registers Tri-State Bus. Part I

Physics 217A LAB 4 Spring 2016 Shift Registers Tri-State Bus. Part I Physics 217A LAB 4 Spring 2016 Shift Registers Tri-State Bus Part I 0. In this part of the lab you investigate the 164 a serial-in, 8-bit-parallel-out, shift register. 1. Press in (near the LEDs) a 164.

More information

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School Course Name : : ELECTRICAL ENGINEERING 2 ND YEAR ELECTRONIC DESIGN LAB Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School of

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory How to Make Your 6.111 Project Work There are a few tricks

More information

BUSES IN COMPUTER ARCHITECTURE

BUSES IN COMPUTER ARCHITECTURE BUSES IN COMPUTER ARCHITECTURE The processor, main memory, and I/O devices can be interconnected by means of a common bus whose primary function is to provide a communication path for the transfer of data.

More information

PGT104 Digital Electronics. PGT104 Digital Electronics

PGT104 Digital Electronics. PGT104 Digital Electronics 1 Part 5 Latches, Flip-flop and Timers isclaimer: Most of the contents (if not all) are extracted from resources available for igital Fundamentals 10 th Edition 2 Latches A latch is a temporary storage

More information

LATCHES & FLIP-FLOP. Chapter 7

LATCHES & FLIP-FLOP. Chapter 7 LATCHES & FLIP-FLOP Chapter 7 INTRODUCTION Latch and flip flops are categorized as bistable devices which have two stable states,called SET and RESET. They can retain either of this states indefinitely

More information

Copyright 2011 by Enoch Hwang, Ph.D. and Global Specialties. All rights reserved. Printed in Taiwan.

Copyright 2011 by Enoch Hwang, Ph.D. and Global Specialties. All rights reserved. Printed in Taiwan. Copyright 2011 by Enoch Hwang, Ph.D. and Global Specialties All rights reserved. Printed in Taiwan. No part of this publication may be reproduced, stored in a retrieval system or transmitted, in any form

More information

Computer Organization

Computer Organization Computer Organization Douglas Comer Computer Science Department Purue University 25 N. University Street West Lafayette, IN 4797-266 http://www.cs.purue.eu/people/comer Copyright 26. All rights reserve.

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Latches, Flip-Flops, and Timers Chapter 6 Traffic Signal Control Traffic Signal Control: State Diagram Traffic Signal Control: Block Diagram Traffic Signal Control:

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

2 The Essentials of Binary Arithmetic

2 The Essentials of Binary Arithmetic ENGG1000: Engineering esign and Innovation Stream: School of EE&T Lecture Notes Chapter 5: igital Circuits A/Prof avid Taubman April5,2007 1 Introduction This chapter can be read at any time after Chapter

More information

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE NORTHWESTERN UNIVERSITY TECHNOLOGICL INSTITUTE ECE 270 Experiment #8 DIGITL CIRCUITS Prelab 1. Draw the truth table for the S-R Flip-Flop as shown in the textbook. Draw the truth table for Figure 7. 2.

More information

Timing Pulses. Important element of laboratory electronics. Pulses can control logical sequences with precise timing.

Timing Pulses. Important element of laboratory electronics. Pulses can control logical sequences with precise timing. Timing Pulses Important element of laboratory electronics Pulses can control logical sequences with precise timing. If your detector sees a charged particle or a photon, you might want to signal a clock

More information

ECE 2274 Pre-Lab for Experiment Timer Chip

ECE 2274 Pre-Lab for Experiment Timer Chip ECE 2274 Pre-Lab for Experiment 6 555 Timer Chip Introduction to the 555 Timer The 555 IC is a popular chip for acting as multivibrators. Go to the web to obtain a data sheet to be turn-in with the pre-lab.

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Review of digital electronics. Storage units Sequential circuits Counters Shifters Review of digital electronics Storage units Sequential circuits ounters Shifters ounting in Binary A counter can form the same pattern of 0 s and 1 s with logic levels. The first stage in the counter represents

More information

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual University of Victoria Department of Electrical and Computer Engineering CENG 290 Digital Design I Lab Manual INDEX Introduction to the labs Lab1: Digital Instrumentation Lab2: Basic Digital Components

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55)

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55) Previous Lecture Sequential Circuits Digital VLSI System Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture No 7 Sequential Circuit Design Slide

More information

Digital Circuits ECS 371

Digital Circuits ECS 371 igital Circuits ECS 371 r. Prapun Suksompong prapun@siit.tu.ac.th Lecture 17 Office Hours: BK 3601-7 Monday 9:00-10:30, 1:30-3:30 Tuesday 10:30-11:30 1 Announcement Reading Assignment: Chapter 7: 7-1,

More information

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors CSC258 Week 5 1 We are here Assembly Language Processors Arithmetic Logic Units Devices Finite State Machines Flip-flops Circuits Gates Transistors 2 Circuits using flip-flops Now that we know about flip-flops

More information

10.1 Sequential logic circuits are a type of logic circuit where the output of the circuit depends not only on

10.1 Sequential logic circuits are a type of logic circuit where the output of the circuit depends not only on CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-2449 Digital Logic Lab EXPERIMENT 10 INTRODUCTION TO SEQUENTIAL LOGIC EE 2449 Experiment 10 nwp & jgl 1/1/18

More information

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1 Sequential Logic E&CE 223 igital Circuits and Systems (A. Kennings) Page 1 Sequential Circuits Have considered only combinational circuits in which circuit outputs are determined entirely by current circuit

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 2/4 ELEKTRONIK DIGIT Kolej Universiti Kejuruteraan Utara Malaysia Sequential Logic Circuits - COUNTERS - LATCHES (review) S-R R Latch S-R R Latch Active-LOW input INPUTS OUTPUTS S R Q Q COMMENTS Q

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS In the same way that logic gates are the building blocks of combinatorial circuits, latches

More information

OFC & VLSI SIMULATION LAB MANUAL

OFC & VLSI SIMULATION LAB MANUAL DEVBHOOMI INSTITUTE OF TECHNOLOGY FOR WOMEN, DEHRADUN - 24847 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING Prepared BY: Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering

More information

ELECTRICAL ENGINEERING DEPARTMENT California Polytechnic State University

ELECTRICAL ENGINEERING DEPARTMENT California Polytechnic State University EECTRICA ENGINEERING DEPARTMENT California Polytechnic State University EE 361 NAND ogic Gate, RS Flip-Flop & JK Flip-Flop Pre-lab 7 1. Draw the logic symbol and construct the truth table for a NAND gate.

More information

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore)

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore) Laboratory 11 Digital Displays and Logic (modified from lab text by Alciatore) Required Components: 2x lk resistors 1x 10M resistor 3x 0.1 F capacitor 1x 555 timer 1x 7490 decade counter 1x 7447 BCD to

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

16 Stage Bi-Directional LED Sequencer

16 Stage Bi-Directional LED Sequencer 16 Stage Bi-Directional LED Sequencer The bi-directional sequencer uses a 4 bit binary up/down counter (CD4516) and two "1 of 8 line decoders" (74HC138 or 74HCT138) to generate the popular "Night Rider"

More information

Laboratory 8. Digital Circuits - Counter and LED Display

Laboratory 8. Digital Circuits - Counter and LED Display Laboratory 8 Digital Circuits - Counter and Display Required Components: 2 1k resistors 1 10M resistor 3 0.1 F capacitor 1 555 timer 1 7490 decade counter 1 7447 BCD to decoder 1 MAN 6910 or LTD-482EC

More information

DIGITAL ELECTRONICS LAB MANUAL FOR 2/4 B.Tech (ECE) COURSE CODE: EC-252

DIGITAL ELECTRONICS LAB MANUAL FOR 2/4 B.Tech (ECE) COURSE CODE: EC-252 DIGITAL ELECTRONICS LAB MANUAL FOR /4 B.Tech (ECE) COURSE CODE: EC-5 PREPARED BY P.SURENDRA KUMAR M.TECH, Lecturer D.SWETHA M.TECH, Lecturer T Srinivasa Rao M.TECH, Lecturer Ch.Madhavi, Lab Assistant 009-00

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Digital Systems Laboratory 3 Counters & Registers Time 4 hours Digital Systems Laboratory 3 Counters & Registers Time 4 hours Aim: To investigate the counters and registers constructed from flip-flops. Introduction: In the previous module, you have learnt D, S-R,

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1 Unit 9 Latches and Flip-Flops Dept. of Electrical and Computer Eng., NCTU 1 9.1 Introduction Dept. of Electrical and Computer Eng., NCTU 2 What is the characteristic of sequential circuits in contrast

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

Go BEARS~ What are Machine Structures? Lecture #15 Intro to Synchronous Digital Systems, State Elements I C

Go BEARS~ What are Machine Structures? Lecture #15 Intro to Synchronous Digital Systems, State Elements I C CS6C L5 Intro to SDS, State Elements I () inst.eecs.berkeley.edu/~cs6c CS6C : Machine Structures Lecture #5 Intro to Synchronous Digital Systems, State Elements I 28-7-6 Go BEARS~ Albert Chae, Instructor

More information

Lab #10: Building Output Ports with the 6811

Lab #10: Building Output Ports with the 6811 1 Tiffany Q. Liu April 11, 2011 CSC 270 Lab #10 Lab #10: Building Output Ports with the 6811 Introduction The purpose of this lab was to build a 1-bit as well as a 2-bit output port with the 6811 training

More information

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3)

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3) Logic esign ( Part ) Sequential Logic- Finite State Machines (Chapter ) Based on slides McGraw-Hill Additional material 00/00/006 Lewis/Martin Additional material 008 Roth Additional material 00 Taylor

More information

ASYNCHRONOUS COUNTER CIRCUITS

ASYNCHRONOUS COUNTER CIRCUITS ASYNCHRONOUS COUNTER CIRCUITS Asynchronous counters do not have a common clock that controls all the Hipflop stages. The control clock is input into the first stage, or the LSB stage of the counter. The

More information

Digital Fundamentals 11/2/2017. Summary. Summary. Floyd. Chapter 7. Latches

Digital Fundamentals 11/2/2017. Summary. Summary. Floyd. Chapter 7. Latches igital Fundamentals Tenth Edition Floyd hapter 7 2009 Pearson Education, Upper 2008 Pearson Saddle iver, Education N 07458. All ights eserved A latch is a temporary storage device that has two stable states

More information

LAB #4 SEQUENTIAL LOGIC CIRCUIT

LAB #4 SEQUENTIAL LOGIC CIRCUIT LAB #4 SEQUENTIAL LOGIC CIRCUIT OBJECTIVES 1. To learn how basic sequential logic circuit works 2. To test and investigate the operation of various latch and flip flop circuits INTRODUCTIONS Sequential

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 COMP2611: Computer Organization Sequential Logic Time 2 Till now, we have essentially ignored the issue of time. We assume digital circuits: Perform their computations instantaneously Stateless: once

More information

COMP sequential logic 1 Jan. 25, 2016

COMP sequential logic 1 Jan. 25, 2016 OMP 273 5 - sequential logic 1 Jan. 25, 2016 Sequential ircuits All of the circuits that I have discussed up to now are combinational digital circuits. For these circuits, each output is a logical combination

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Synchronous Digital Logic Systems. Review of Digital Logic. Philosophy. Combinational Logic. A Full Adder. Combinational Logic

Synchronous Digital Logic Systems. Review of Digital Logic. Philosophy. Combinational Logic. A Full Adder. Combinational Logic Synchronous igital Logic Systems Review of igital Logic Prof. Stephen. Edwards Raw materials: MOS transistors and wires on Is Wires are excellent conveyors of voltage Little leakage Fast, but not instantaneous

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information