COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Size: px
Start display at page:

Download "COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON"

Transcription

1 COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES

2 EUV 193i COMPARISON VEHICLE FOR 24NM HALF PITCH 2 level BEOL vehicle M0/V0/M1/V1/M2 with Product Like layout 193i and EUV versions for Pattering Assessment M1 LE 3 EUV SP V0/V1 LE 2 EUV SP M2 SADP+Keep EUV SP 9-193i Masks Fields V0 LE 2 M1 LE 3 V1 LE 2 M2 Core M2 Keep 4 - EUV Masks Fields All single exposure 2

3 ADVANCED LITHOGRAPHY CLUSTERS IMMERSION EUV ASML NXT:1950 Sokudo DUO (since Mar 12 and continuing ASML NXE:3100 TEL Lithius Pro (from Oct 11 till Feb 15 ASML NXT:1970 TEL Lithius Pro Zi (since April 15 ASML NXE:3300 TEL Lithius Pro Z EUV (Since July

4 4 COMPARING PROCESS COMPLEXITY

5 COMPARING PROCESS COMPLEXITY 193i LE 3 PRINTS 42NM LINES ON 144NM PITCH LEA LEB LEC Litho Shrink in SOG SOC etch Oxide etch Strip TiN etch 5

6 COMPARING PROCESS COMPLEXITY 193i LE 3 PRINTS 42NM LINES ON 144NM PITCH LEA 27 LEB Proces s Steps LEC Litho Shrink in SOG SOC etch Oxide etch Strip TiN etch 6

7 COMPARING PROCESS COMPLEXITY 193i SADP+KEEP USES 48NM LINES ON 96NM PITCH Core Litho Core etch/trim Core Etch, Trim and And SiOC SiOCstrip strp Spacer dep Dep Spacer Etch etch and Core pull Keep Litho Shrink SOG etch in SOG and PR strip SOC etch and and SOG strip strip TiN TiN Open Etch and and SOC Strip strip 7

8 COMPARING PROCESS COMPLEXITY 193i SADP+KEEP USES 48NM LINES ON 96NM PITCH 18 Core Litho Core etch/trim Core Etch, Trim and And SiOC SiOCstrip strp Proces Spacer dep Dep s Steps Spacer Etch etch and Core pull KeepLitho Shrink SOG etch in SOG and PR strip SOC etch and and SOG strip strip TiN TiN Open Etch and and SOC Strip strip 8

9 COMPARING PROCESS COMPLEXITY EUV SP PRINTS 24NM LINES ON 48NM PITCH Litho UL etch Oxide etch PR Strip TiN etch 9

10 COMPARING PROCESS COMPLEXITY EUV SP PRINTS 24NM LINES ON 48NM PITCH 8 Proces s Steps Litho UL etch Oxide etch PR Strip TiN etch 10

11 Cost (a.u. COMPARING PROCESS COMPLEXITY COST MODEL Lithography Depostion Metallization DryEtch WetClean CMP Metrology 4% 5% 4% 6% 9% 5% 9% 6% 18% 5% 18% 8% 21% 23% 23% 4% 5% 7% 38% 48% 34% MxLE^3_VxLE^2 MxSADP+SP-Blk_Vx-LE^2 MxEUV-SP_VxEUV-SP High Deposition, Etch and Clean cost High Litho Cost 11

12 12 REAL WAFER DATA

13 WAFER DATA 193i LE 3 VS EUV SP BCABCABCA A (nm B (nm C (nm 193i LE 3 13nm LE bias EUV SP 0nm LE bias Litho Etch Litho Etch 39.3( ( ( nm HP 27.7( ( ( ( ( ( ( ( (1.96 SWR M1C M1A 193i LE 3 13nm LE bias M1B EUV SP 0nm LE bias Litho Etch Litho Etch 51.9 ( ( ( ( ( ( ( ( ( ( LOGIC Better CDU for EUV SP but slightly worse LWR/SWR In each case CDU and LWR can lead to open or bridging 193i LE 3 route results in corner rounding EUV SP offers clearly better patterning fidelity than 193i LE 3

14 WAFER DATA 193i SADP+KEEP VS EUV SP LOGIC 24nm HP 193i SADP+KEEP Gap Core EUV SP Core (nm 193i SADP+Keep 18nm LE bias EUV SP 0nm LE bias Litho Etch Litho Etch 42.5( ( ( (2.25 SWR (Core ( Gap (nm ( SWR (Gap - 2.7( EUV single patterning offers clearly better patterning fidelity than 193i SADP + Keep, however, roughness is high 193i SADP + Keep shows better CDU and LER/LWR but has sharp line ends 14

15 WAFER DATA 193i SADP+KEEP Spacer Contour * Keep Contour * Final Contour * TiN Core Spacer Gap Oxide SiN *Contour used to guide the eye. Based on non-calibrated etch model 15

16 193i SADP+KEEP KEEP MULTIPLE PATTERNING KEEP CAN HELP LINE ENDS CORE REMOVAL Keep KEEP LITHO FINAL METAL TRENCH Spacer SP Target Contour Keep A Keep B DP Target Contour 16

17 WHAT IS IMPORTANT WHEN MAKING A COMPARISON?

18 ELECTRICAL INTEGRITY

19 ELECTRICAL INTEGRITY Resistance/Capacitance variability Open/Short due to Lines/Space narrowing CDU/LER/LWR Proximity effect Via landing Overlay Line end pull back Proximity M1 LE 3 Rounding Line end/corner shape Narrowing Pull back Comparison by human eye is easy but the challenge is to automate this M1 EUV 19

20 CONTOUR EXTRACTION FROM CD-SEM E.G. EUV CASE 100+ contours show the variability across the wafer Line-Ends Full wafer SEM collection Count Mean = 1.8nm Standard Deviation = 2.0nm EPE [nm] All ends show a wide range Count Separate locations show pull in and push back Location 5 Location 6 Location EPE [nm] 20

21 VARIABILITY BANDS EUV vs 193i LE 3 EUV Variability Band 193i Variability Band Extraction artefacts No doubt EUV cases look better qualitatively Immersion case shows pattern displacement errors (probably due to overlay errors, less sharp corner transitions (probably due to OPC, and larger variability bandwidths 21

22 LINE END PULLBACK IS DEPENDENT ON PROCESS EUV vs 193i LE 3 22

23 HOT SPOT BRIDGE EUV vs 193i LE 3 23

24 VIA AREA COVERAGE (NOMINAL VIA USED EUV vs 193i LE 3 24

25 SUMMARY CAN WE CONCLUDE WHICH PROCESS IS BETTER? DEPENDS! Masks (single level LE 3 SADP+Keep EUV CDU (3s 2.5nn 1.8nm 2.0nm L/SWR 3.5nm 2.7nm 3.9nm Process steps Full flow cost 396 a.u. 375 a.u. 343 a.u. Pattern Integrity Rounded corners Sharp line ends Good Variability in Line-end pull back (3s 16nm Close to 1nm * Close to 5nm Bridge * 2-3 Via coverage 28% >75% * 25 75%... IT * Estimated based on visual data

26

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles 2 OUTLINE Lithography

More information

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec SEMICON Europe October 2009 Pushing Lithography to the Limits imec 2009 1 Alternative double patterning processes : ready for (sub) 32nm hp? P. Wong, M. Maenhoudt, D. Vangoidsenhoven, V. Wiaux Outline

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED Patterning Challenges for N7 and Beyond At a Crossroads Steven Scheer Director, Corporate Development Division TOKYO ELECTRON LIMITED Advanced Technologies in Accelerating Digital Era and IoT Forum Friday,

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Lower BW and its impact on the patterning performance

Lower BW and its impact on the patterning performance Lower BW and its impact on the patterning performance Paolo Alagna a, Greg Rechtsteiner b, Vadim Timoshkov c, Patrick Wong d Will Conley b, Jan Baselmans c a) Cymer LLC, Kapeldreef 75, 3001 Leuven, Belgium

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

FinFETs & SRAM Design

FinFETs & SRAM Design FinFETs & SRAM Design Raymond Leung VP Engineering, Embedded Memories April 19, 2013 Synopsys 2013 1 Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 2 How

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

ABSTRACT 1 INTRODUCTION

ABSTRACT 1 INTRODUCTION Novel lithography technique using an ASML Stepper/Scanner for the manufacture of display devices in MEMS world ASML US, Inc Special Applications, 6580 Via Del Oro San Jose, CA 95119 Keith Best, Pankaj

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures TEL Technology Center, America, LLC - imec Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures SPCC, April 10, 2018 S. Kal 1, C. Pereira 1, Y. Oniki 2,

More information

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process PIERS ONLINE, VOL. 3, NO. 2, 27 184 De-embedding Techniques For Passive Components Implemented on a.25 µm Digital CMOS Process Marc D. Rosales, Honee Lyn Tan, Louis P. Alarcon, and Delfin Jay Sabido IX

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

The Challenges in Making NIL Master Templates

The Challenges in Making NIL Master Templates The Challenges in Making NIL Master Templates Naoya Hayashi Dai Nippon Printing Co., Ltd. A Member of the ebeam Initiative 2011 Dai Nippon Printing Co.,Ltd. All Rights Reserved. OUTLINE Recent Progress

More information

Introduction and recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000 Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative NFT s mask writer

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS METHODOLOGY FOR ANALYZING AND QUANTIFYING DESIGN STYLE CHANGES AND COMPLEXITY USING TOPOLOGICAL PATTERNS JASON CAIN 1, YA-CHIEH LAI 2, FRANK GENNARI 2, JASON SWEIS 2 1 ADVANCED MICRO DEVICES, 2 CADENCE

More information

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies V. Twines, C. Archambuult, B. Hinschberger, E. Rouchouze ST Microelectronic Crolles

More information

High-Performance Technologies for an Analog-Centric World

High-Performance Technologies for an Analog-Centric World High-Performance Technologies for an Analog-Centric World Oct 13, 2011 Lou N. Hutter, SVP GM, Analog Foundry Business Unit Dongbu HiTek Agenda Analog in Our World Today Diverse Technology Needs of the

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Electron Beam Technology

Electron Beam Technology Electron Beam Technology Speed up! High Performance Electron Beam Lithography dedicated electron beam lithography To bridge cutting-edge research and nanofabrication, a dedicated nanolithography solution

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr March 2011 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

Superpose the contour of the

Superpose the contour of the (19) United States US 2011 0082650A1 (12) Patent Application Publication (10) Pub. No.: US 2011/0082650 A1 LEU (43) Pub. Date: Apr. 7, 2011 (54) METHOD FOR UTILIZING FABRICATION (57) ABSTRACT DEFECT OF

More information

Layout Analysis Analog Block

Layout Analysis Analog Block Layout Analysis Analog Block Sample Report Analysis from an HD Video/Audio SoC For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si substrate. (b) Free-standing OLEDs/polymer film peeled off

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

High performance optical blending solutions

High performance optical blending solutions High performance optical blending solutions WHY OPTICAL BLENDING? Essentially it is all about preservation of display dynamic range. Where projected images overlap in a multi-projector display, common

More information

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650 Projects for Fall 2005 Mike quilino Dr. Lynn Fuller http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester,

More information

Reducing CCD Imaging Data

Reducing CCD Imaging Data Reducing CCD Imaging Data Science and Calibration Data Exactly what you need will depend on the data set, but all the images generally fall into two categories. Science Exposures: Self-explanatory -- this

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product Session 6 AND, AT THE WAFER LEVEL For many in the industry, performing final test at the wafer level is still a novel idea. While providing some much needed solutions, it also comes with its own set of

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Photocathodes FLASH: Quantum Efficiency (QE)

Photocathodes FLASH: Quantum Efficiency (QE) Photocathodes Studies @ FLASH: Quantum Efficiency (QE) L. Monaco, D. Sertore, P. Michelato J. H. Han, S. Schreiber Work supported by the European Community (contract number RII3-CT-4-568) /8 Main Topics

More information

WP36BHD T-1 (3mm) Blinking LED Lamp

WP36BHD T-1 (3mm) Blinking LED Lamp T-1 (3mm) Blinking LED Lamp DESCRIPTIONS The Bright Red source color devices are made with Gallium Phosphide Red Light Emitting Diode Electrostatic discharge and power surge could damage the LEDs It is

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

Nanotechnology Solutions Partner

Nanotechnology Solutions Partner Nanotechnology Solutions Partner Park Systems Corp. KANC 4F, Iui-Dong 6-10, Suwon, Korea 443-270 Tel. +82-31-546-6800 Fax. +82-31-546-6805 www.parkafm.co.kr Park Systems Inc. 3040 Olcott St. Santa Clara,

More information

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

White Paper. Discone Antenna Design

White Paper. Discone Antenna Design White Paper Discone Antenna Design Written by Bill Pretty Highpoint Security Technologies Property of Highpoint Security Technologies Inc The user of this document may use the contents to recreate the

More information

Extremely high sensitivity Live Video Imaging using the SamBa SE-34

Extremely high sensitivity Live Video Imaging using the SamBa SE-34 Extremely high sensitivity Live Video Imaging using the SamBa SE-34 A special thanks for the cooperation and support from Coreco Imaging in enabling this feature. Introduction This document provides guidance

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK v1.55 Typical Applications The is

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

GENUINE PARTS ! CAUTION

GENUINE PARTS ! CAUTION GENUINE PARTS SATELLITE RADIO INSTALLATION INSTRUCTIONS 1. DESCRIPTION: SATELLITE RADIO SYSTEM 2. PART NUMBERS: XM tuner kit 999U9-NV003 Sirius tuner kit 999U9-NV004 XM antenna kit 999U9-VQ006 Sirius antenna

More information

Sections 1. Application Equipment 2. Cable Preparation 3. Assembly 4. Termination Procedure 5. Inspection 6. Repair 7.

Sections 1. Application Equipment 2. Cable Preparation 3. Assembly 4. Termination Procedure 5. Inspection 6. Repair 7. Page 1 of 6 Coaxial SolderSleeve Termination with Pre-Installed Wires or PCB Termination Body, B-044, B-043, B-041, B-040, B-020, B-021, B-046, D-148 Series Cable Type Typical RG Cable # -A- RG 178 RG

More information

2.1. Log on to the TUMI system (you cannot proceed further until this is done).

2.1. Log on to the TUMI system (you cannot proceed further until this is done). FEI DB235 ex-situ lift out TEM sample preparation procedure Nicholas G Rudawski ngr@ufledu (805) 252-4916 Last updated: 06/19/15 DISCLAIMER: this procedure describes one specific method for preparing ex-situ

More information

Characterisation of the far field pattern for plastic optical fibres

Characterisation of the far field pattern for plastic optical fibres Characterisation of the far field pattern for plastic optical fibres M. A. Losada, J. Mateo, D. Espinosa, I. Garcés, J. Zubia* University of Zaragoza, Zaragoza (Spain) *University of Basque Country, Bilbao

More information

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing 1 Oliver D. Patterson, 1 Xing J. Zhou, 1 Rohit S. Takalkar, 1 Katherine V. Hawkins, 1 Eric H.

More information

Cathode Studies at FLASH: CW and Pulsed QE measurements

Cathode Studies at FLASH: CW and Pulsed QE measurements Cathode Studies at FLASH: CW and Pulsed QE measurements L. Monaco, D. Sertore, P. Michelato S. Lederer, S. Schreiber Work supported by the European Community (contract number RII3-CT-2004-506008) 1/27

More information

First LHC Beams in ATLAS. Peter Krieger University of Toronto On behalf of the ATLAS Collaboration

First LHC Beams in ATLAS. Peter Krieger University of Toronto On behalf of the ATLAS Collaboration First LHC Beams in ATLAS Peter Krieger University of Toronto On behalf of the ATLAS Collaboration Cutaway View LHC/ATLAS (Graphic) P. Krieger, University of Toronto Aspen Winter Conference, Feb. 2009 2

More information

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Carl Taussig, Richard E. Elder, Warren B. Jackson, Albert Jeans, Mehrban Jam, Ed Holland, Hao Luo, John Maltabes, Craig Perlov,

More information

On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ

On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ Pavel Zivny, Tektronix V1.0 On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ A brief presentation

More information

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Installation Manual IPT Installation of skillet systems with 125 A track current. MV a-E.

Installation Manual IPT Installation of skillet systems with 125 A track current. MV a-E. www.wampfler.com Page 1 of 27 Index Page 1 Basics...4 2 Basic understanding of an IPT -system...5 3 General rules regarding metal parts in close proximity...6 3.1 Envelope free of ferromagnetic material...6

More information

ANALYSING DIFFERENCES BETWEEN THE INPUT IMPEDANCES OF FIVE CLARINETS OF DIFFERENT MAKES

ANALYSING DIFFERENCES BETWEEN THE INPUT IMPEDANCES OF FIVE CLARINETS OF DIFFERENT MAKES ANALYSING DIFFERENCES BETWEEN THE INPUT IMPEDANCES OF FIVE CLARINETS OF DIFFERENT MAKES P Kowal Acoustics Research Group, Open University D Sharp Acoustics Research Group, Open University S Taherzadeh

More information

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

Optimizing BNC PCB Footprint Designs for Digital Video Equipment Optimizing BNC PCB Footprint Designs for Digital Video Equipment By Tsun-kit Chin Applications Engineer, Member of Technical Staff National Semiconductor Corp. Introduction An increasing number of video

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design An Introduction to VLSI (Very Large Scale Integrated) Circuit Design Presented at EE1001 Oct. 16th, 2018 By Hua Tang The first electronic computer (1946) 2 First Transistor (Bipolar) First transistor Bell

More information

Advance Certificate Course In Audio Mixing & Mastering.

Advance Certificate Course In Audio Mixing & Mastering. Advance Certificate Course In Audio Mixing & Mastering. CODE: SIA-ACMM16 For Whom: Budding Composers/ Music Producers. Assistant Engineers / Producers Working Engineers. Anyone, who has done the basic

More information

Focused-ion-beam fabrication of nanoplasmonic devices

Focused-ion-beam fabrication of nanoplasmonic devices Focused-ion-beam fabrication of nanoplasmonic devices H. J. Lezec Center for Nanoscale Science and Technology, NIST, Gaithersburg MD, USA.. Outline 1) Plasmon-induced negative refraction at visible frequencies

More information

Emerging Memory Technologies

Emerging Memory Technologies Report No. FI-NVM-EMT-1209 By: Josef Willer, Gregory Wong December 2009 2009 Forward Insights. All Rights Reserved. Reproduction and distribution of this publication in any form in whole or in part without

More information

Performance of a double-metal n-on-n and a Czochralski silicon strip detector read out at LHC speeds

Performance of a double-metal n-on-n and a Czochralski silicon strip detector read out at LHC speeds Performance of a double-metal n-on-n and a Czochralski silicon strip detector read out at LHC speeds Juan Palacios, On behalf of the LHCb VELO group J.P. Palacios, Liverpool Outline LHCb and VELO performance

More information

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions IN-VISION 2017. All rights reserved. IN-VISION GmbH B2B DLP Light Engine and Optical Solutions Company Long-term experience in development and manufacturing of high-end optical projection lens assemblies

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Advanced laser technology. Company presentation

Advanced laser technology. Company presentation Advanced laser technology KORTherm Science Company presentation 1 Vision Laser cutting Solar cell patterning FPD ELA Laser Total Solution Medical Device Laser drilling Marking Scribing Laser Patterning

More information

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , , US-Rev3 26 March 1997 With respect to any product described in or for Attachment B to the Annex to the Ministerial Declaration on Trade in Information Technology Products (WT/MIN(96)/16), to the extent

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

Using tapers at the beginning and end of the time series to which pads will be added before filtering

Using tapers at the beginning and end of the time series to which pads will be added before filtering Using tapers at the beginning and end of the time series to which pads will be added before filtering Notes by David M. Boore I have sometimes observed that a transient occurs near the end (or less often

More information

CSC Data Rates, Formats and Calibration Methods

CSC Data Rates, Formats and Calibration Methods CSC Data Rates, Formats and Calibration Methods D. Acosta University of Florida With most information collected from the The Ohio State University PRS March Milestones 1. Determination of calibration methods

More information

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Delft University of Technology Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Kluba, Marta; Arslan, Aslihan; Stoute, Ronald; Muganda, James; Dekker, Ronald

More information