Digital Systems Laboratory 1 IE5 / WS 2001

Size: px
Start display at page:

Download "Digital Systems Laboratory 1 IE5 / WS 2001"

Transcription

1 Digital Systems Laboratory 1 IE5 / WS 2001 university of applied sciences fachhochschule hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory In this course you are going to work out four labs. The topics of the labs relate directly to the corresponding course DS. The digital designs have to be developed and implemented in hardware with XILINX-Foundation software tool. The design entry will be performed with VHDL coding. All developments will be implemented with XILINX-CPLD XC9500 hardware. This outline gives information about the recommended reading, the necessary lab preparation, the work library of the development PCs and the final documentation. You will have to work with the following handouts: 1. Lab descriptions which are listed in the table. 2. Instructions to handle XILINX-Foundation. This is a red line paper with information about the software icons you have to choose for design development steps. 3. Instructions to work with the VHDL simulator ModelSim XE. 4. Course handout XILINX XC9500 CPLD Device Architecture. Survey to four labs during WS 2001 (please remark the special time scheduling for November/December): Lab no. Topic 1 Design of a traffic light controller for a pedestrian crossing. Lab goals: Implementation of a finite state machine (FSM) in interconnection with a controlled counter. 2 Design of a controlled 8-bit shift register. Lab goals: Implementation of a parallel-load / serial-parallel converter. 3 Design of a 8-bit serial two's complementer. Lab goals: Implementation of a synchronously clocked system which consists of four connected components. 4 Design of a simple controller/data path architecture for the serial two's complementer which receives a 10-bit input word: start bit, 8 data bits, stop bit. Lab goals: Implementation of a digital system which is build up by components and handshake interfacing: FSM controller and a data path unit (DPU). Recommendations for lab preparation! Each lab has to be prepared appropriately in order that you are able to: present a written/drawn concept for the digital circuit, present a VHDL model (compiled *.vhd file on a disk) for the design you have to implement, present a concept for the hardware verification. Important notes which have to be reminded during the labs: Start to login to the lab PC with the local PC name (DIP<number of PC>). You don t need to login with a password. You have to copy your VHDL design files into the work directory C:\Fndtwrk. You are not allowed to change any existing path or implement any new work directory. With each start of the PC a new work directory c:\fndtwrk will be created. This prepared work directory contains several useful files (*.ucf; seg7.vhd;*.do; *.cmd). You should not expect that your development results will be available on the PC at the next day. Therefore you should use the file fi archive project option in XILINX-Foundation tool. Please remember that VHDL filenames and the including entity names should be identically in order to avoid misunderstandings of information yielded by the software tools. You have to choose entity, project, and command file names with less or equal 8 characters, because otherwise design implementation may cause problems. No a, u, o are allowed for proper operation. 1 SWR 01 1

2 During all labs the development results have to be verified by VHDL or timing simulations before design implementation will be tested. You have to edit appropriate *.cmd or *.do command files because design debugging will be more efficient. Guide through the lab records (write-ups): Each lab has to be accomplished by a documentation. Following parts should be included: You have to explain the development of block diagrams, decision charts, state diagrams, ASM charts, logic functions and VHDL code semantics. The meaning of all VHDL signals and variables have to be made plausible, i. e. with identically named interconnection lines in a speaking block schematic. In order to convince with your lab results you have to add comments on all command files, simulation timings, with which you have tested your design. We also expect comments on all element groups of the schematics or logic equations (i. e. combinational logic, unexpected latches, flip-flops and three-state drivers). Pinout of the implemented digital circuit. Finish the lab documentation with a schematic of the complete test system (device under test, signal generator and oscilloscope). 2

3 university of applied sciences fachhochschule hamburg FACHBERCH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory Student group: Professors comment: Record editor: Date: Group members: Professor: 1. Lab: Traffic Light Controller The controller for traffic lights at a pedestrian crossing has to be developed. A pedestrian who wants to cross a road has to push a button (PB) at a traffic light sign post. A sequence of light changes gives green or red lights to the pedestrian (PEGR, PDRE) and green, yellow or red lights to the drivers directions (DRGR, DRYE, DRRE). The sequence of traffic light states is described with table 1. The number of cycles of a chosen clock period determines the duration of each state. A sequence controller has to be build up with a synchronous Mealy state machine. The FSM will be switched on State PB Function Cycles DRRE DRYE DRGR PDRE PDGR S0 0 Initial state, waiting S1 was 1 Push button has been pressed, system switched on S2 X Drivers direction yellow S3 X Drivers dir. red S4 X Pedestrians dir. green S5 X Pedestrians dir. red S6 X Drivers dir. red/yellow S7 X Drivers dir. green Table 1: Sequence of traffic light states and number of clock cycles. with the pedestrians push button PB. The duration of each state has to be controlled by a synchronous 5-bit counter (Q[4:0]). Parallel to the next state calculation (Si / Si+1) the counter is reset synchronously by the FSM output CLR. In order to reduce the number of CPLD macrocells a state encoding has to be chosen which is described by the following state diagram. reset Reset 0xxxx/0 x00100/1 x00001/1 x00100/1 1xxxx/0 x00100/0 x00001/ x01110/ x00001/ x01001/ x11101/ x01110/1 x00001/1 x01001/1 x11101/1 B 5 DRRE DRYE DRGR PDRE PDGR PB Q4 Q3 Q2 Q1 Q0/ CLR 3

4 The development should begin with a block diagram which describes all interfaces of two components. Both components have to be modelled and tested separately. The traffic controller system has to be described then with a structural model which contains instantiations of both components. Template of a structural model with components: -- Template for a structural model entity TRAFFIC_CONTROL is -- external ports. port(reset, CLK, PB : in bit; OUTP : out bit_vector(4 downto 0)); end TRAFFIC_CONTROL; architecture STRUCTURE of TRAFFIC_CONTROL is signal CLR_I: BIT; signal Q_I: BIT_VECTOR(4 downto 0); -- component-declaration: used IC-type component COUNTER_T_C port( CLR, CLK : in BIT ; QOUT : out BIT_VECTOR ); end component; component LIGHT_CONT -- light controller Mealy-FSM port( RESET, PB, CLK : in BIT ; COUNT : in BIT_VECTOR(4 downto 0); CLR : out BIT ; LIGHTS: out bit_vector(4 downto 0)); end component; begin -- component instantiation: socket interfacing INST_1: COUNTER_T_C -- connector of component => internal signal or external interface port map(clr => CLR_I, CLK => CLK, QOUT => Q_I); INST_2: LIGHT_CONT port map(reset => RESET, PB => PB, CLK => CLK, COUNT => Q_I, CLR => CLR_I, LIGHTS => OUTP); end STRUCTURE; Single Steps Develop a 5-bit counter VHDL code with a synchronous reset and symbolic signal delays (after 20 ns) in signal assignments. Counter Develop a two-process FSM model VHDL code. FSM Develop a structural VHDL model as described above. Synthesis and design implementation. Apply implementation options : Simulation: ModelSim VHDL Timing simulation with ModelSim and check the signal propagation from clock edge to selected outputs. Build up the device under test with an XS-95 board. Connect the control signals to the traffic light model. Measurements of signal propagation delay has to be performed. Documentation VHDL code and a corresponding decision chart. VHDL code and a block diagram. VHDL code and corresponding block diagram. Pin out and comments on logic equations, check the *.rpt file. result print out and comments on worst case signal delays. Hand drawing of used equipment and measured signals. The results have to be explained to the professor or to the technical assistant. 4

5 university of applied sciences fachhochschule hamburg FACHBERCH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory Student group: Professors comment: Record editor: Date: Group members: Professor: 2. Lab: 8-Bit Parallel-Load Shift Register A Johnson counter contains the basic structure of a shift register which is made up by a chain of D-FFs. Beginning with the LSB of a register (a number of D-FFs) each D-FF output can be connected to the data input of the D-FF with next higher weight. This chain of D-FFs includes the ability to shift the bits of the register to the left (LSB to MSB) or to the right (MSB to LSB). For example, a sequence of bits can be converted into a word by shifting the bits into a register and moving the bits along at each clock edge. After a sufficient number of clock edges, namely the number n of D-FFs, the n bits of a word are available as a single word. This is known as serial-in, parallel-out register. During the shift processing all bits which have been stored before will be lost. Applications of shift registers will be found in serial communication interfaces. The receiver input device will clock in a data stream of sequenced bits and a parallel word will be accessed by internal processes. A sender will clock out a parallel stored word over a serial register output. Simple multiply and division operations can be performed by a shift register. A multiplication by two will be done with a shift left by one bit. A VHDL-module which models a shift register type SN74HC166 has to be implemented with a CPLD XC95108 (compare attached TI data sheet pages). Describe the main functionality with a clocked process. The priority of control inputs is described by the data sheet function table. Start with development of a decision chart which resumes following order of input signal checking: 1. Asynchronous and low active clear. 2. Clock edged check of an internal signal which is build up with an ORing of two inputs clock CLK and clock inhibit CLK INH. 3. Synchronous load with SH_LD_N = Shift enable with SH_LD_N = 1. Shift of serial input SER from LSB to MSB output QH which means shift left. Therefore you should use the label SEL for serial data input. Additional requirements: 1. All 8 register bits should be accessible at the module output. 2. Append a controlled tristate output driver to the all register outputs. The enable signal should be EN. In order to apply a high impedance state the IEEE-library has to be included to the VHDL code (compare counter lab DC I) and the signal type std_logic has to be used. Implementation advice: The shift left operation can be done by taking the lowest (8-1) bits of Q[7:0] and concatenating the serial input bit SEL to the LSB position and assign it to Q[7:0]. Pseudo-random generator (PSRG, compare J. Wakerly: Digital Design; page ): Another shift register or ring counter application that is simple in terms of next state logic is the linear feedback shift register (LFSR). The next state logic is formed by XOR gates. The sequence of states appears to be random. In order to avoid a stop of sequence an appropriate set condition has to be applied and a self correcting circuit has to be connected to the load input. 5

6 Single Steps Develop a shift register VHDL code according to the datasheet. Append a tri-state driver process to the shift register VHDL code. Develop a LFSR VHDL code with signal feedback from the shift register output. Synthesis and design implementation of the shift register with tri-state outputs. Apply implementation options : Simulation: ModelSim VHDL Timing simulation with ModelSim and check the signal propagation from clock edge to selected outputs. Build up the device under test with an XS-95 board. Connect the shift register signals to an external LED device.. Documentation VHDL code and a corresponding decision chart. VHDL code and a block diagram of both components. VHDL code and block diagram. Pin out and comments on logic equations, check the *.rpt file. result print out and comments on worst case signal delays. Hand drawing of used equipment and measured signals. The results have to be explained to the professor or to the technical assistant. 3. Lab: Serial Two's Complementer 6

7 university of applied sciences fachhochschule hamburg FACHBERCH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory Student group: Professors comment: Record editor: Date: Group members: Professor: A Mealy state machine (FSM) receives an serial bit stream X which consists of 8-bit blocks without any separating bits. The data bits are supplied to the circuit synchronously beginning with the LSB. Serial two's complementing (X Y) is performed by the FSM according to the following algorithm: Appearing zeros X = 0 will be copied to the output Y= 0. The first detected one X = 1 will also be copied Y = 1. All other following bits after the first one have to be inverted Y = X. Examples : 1. X = (LSB) 2. X = (LSB) Y = Y = System operation according to figure 1: The output bit stream Y of the Mealy state machine FSM will be registered by a 8-bit shift register SRG_8 (shift right). After the 8 th bit (MSB) has been shifted into the SRG_8 the full 8-bit data word will be stored by a 8-bit data buffer D_B with the next clock edge. Concurrently to this process of shifting a 3-bit counter C_3B is counting all bits of the received 8-bit blocks. From the counter RCO signal an unknown block? derives the store enable signal LOAD. The unknown function LOAD = f(rco) has to be identified. From the start all synchronously clocked components receive an asynchronous reset. When the sender retrieves the reset it will connect the first LSB to the X input of the FSM. With the next clock edge the 2 nd bit is available and after the MSB has appeared all 8-bit blocks will follow in a closed sequence. X Y 8 8 FSM SRG_8 D_B SHIFT_REG DATA CLK 3 COUNT C_3B RCO? LOAD Figure1: Block diagram of the serial two's complementer with four synchronously clocked components. Lab preparation: a) Develop a Mealy state diagram which describes the two's complementer FSM. Derive a two process state machine VHDL code. b) Develop appropriate VHDL codes for all other components (comp. figure 1) accordingly to the VHDL templates you have experienced during the DC I and DS courses. c) The system verification shall be performed by a synthezisable testbench VHDL code. This testbench SRG_TB is build up by a shift register which shifts out to the left a stream of 24 bits. The MSB of the SRG_TB is connected to the X input of the FSM. The global asynchronous reset is connected to the SRG_TB as well. 7

8 Single Steps Develop a two process FSM VHDL code. Connect all VHDL codes (figure 1) in one design entity including the testbench shift register Synthesis and design implementation of the complete design entity. Apply implementation options : Simulation: ModelSim VHDL Timing simulation with ModelSim. Build up the device under test with an XS-95 board. Connect the DATA signal vector and the LOAD signal to an external LED device. Documentation VHDL code and the corresponding state diagram. VHDL codes. Table with a proof of the two's complementer operation. Pin out and comments on logic equations, check the *.rpt file. result print out and comments on worst case signal delays. Hand drawing of used equipment and measured signals. The results have to be explained to the professor or to the technical assistant. 8

9 university of applied sciences fachhochschule hamburg FACHBERCH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory Student group: Professors comment: Record editor: Date: Group members: Professor: 4. Lab: Controller/ Path Architecture The serial two's complementer we have dealt with in lab 3 has to be completed in order to develop a more practical receiver device for synchronous communication. Now the data bit stream consists of 10 bits: 1 start bit (low level), 8 data bits (LSB first), 1 stop bit (high level) (comp. figure 1). If the sender line is deactivated the line level will be high X = 1. With this kind of defined bit frame the receiver operation can be designed more self controlled. CLK bits Start bit bit 0 bit 1 bit 2 bit 3 bit 4 bit 5 bit 6 bit 7 Stop bit Figure 1: bit frame with a leading start bit (low level) and an appended stop bit (high level). Now the FSM can become the controller of all receiver system components (comp. figure 1 lab 3). A beginning communication is identified by the FSM with a first high low transition on X. With the next clock edge the FSM will perform a transition from its waiting state to the receiving state. With the transition to the receiving state the FSM will set the enable signals to the bit counter C_B and to the shift register SRG_8. When the bit counter has incremented up to 8 all data bits have been shifted into the SRG_8. With the counter state 8 and a stop bit high level is identified the load signal to the data buffer D_B can be set immediately by the FSM. The 8 data bits will be loaded into the data buffer with the next clock edge and a FSM state transition to the waiting state takes place. If no correct stop bit appears no loading will happen and the shifted data will be lost. In such a case an error signal can be send back to the sender. During the waiting state a synchronous reset signal is generated by the FSM and connected to the bit counter and the shift register. Lab preparation: a) Draw a block diagram with all interfacing between the FSM controller and all other components of the data path. The bit counter RCO signal should be connected to the FSM which reduces the number of interface lines. Mark control and status signals. b) Develop a state diagram which describes the two's complementer FSM with additional control outputs. Derive a two process state machine VHDL code. c) Develop appropriate VHDL codes for all other components of the drawn block diagram. d) The system verification shall be performed by a synthezisable testbench VHDL code. This testbench SRG_TB is build up by a shift register which shifts out to the left a stream of 24 bits. The MSB of the SRG_TB is connected to the X input of the FSM. The global asynchronous reset is connected to the SRG_TB as well. 9

10 Single Steps Develop a two process FSM VHDL code. Connect all VHDL codes (block diagram) in one design entity including the testbench shift register Synthesis and design implementation of the complete design entity. Apply implementation options : Simulation: ModelSim VHDL Timing simulation with ModelSim. Build up the device under test with an XS-95 board. Connect the DATA signal vector, the LOAD signal and the state D-FF outputs to an external LED device. Documentation Block diagram of the controller/data path structure. FSM VHDL code and the corresponding state diagram. VHDL codes. Table with a proof of the two's complementer operation. Pin out and comments on logic equations, check the *.rpt file. result print out and comments on worst case signal delays. Hand drawing of used equipment and measured signals. The results have to be explained to the professor or to the technical assistant. 10

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik 8 Shift Registers A Johnson counter contains the basic structure of a shift register which is made up by a chain of D- FFs. Beginning with the LSB of a register (a number of D-FFs) each D-FF output can

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers Registers Registers are a very important digital building block. A data register is used to store binary information appearing at the output of an encoding matrix.shift registers are a type of sequential

More information

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems Lab 5 - VHDL for Sequential Circuits: Implementing a customized State Machine 15 Marks ( 2 weeks) Due

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #2 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Later revisions by R.

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 2 Finite State Machine 1 Objectives You will enter and debug

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Administrative issues. Sequential logic

Administrative issues. Sequential logic Administrative issues Midterm #1 will be given Tuesday, October 29, at 9:30am. The entire class period (75 minutes) will be used. Open book, open notes. DDPP sections: 2.1 2.6, 2.10 2.13, 3.1 3.4, 3.7,

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

CHAPTER 6 COUNTERS & REGISTERS

CHAPTER 6 COUNTERS & REGISTERS CHAPTER 6 COUNTERS & REGISTERS 6.1 Asynchronous Counter 6.2 Synchronous Counter 6.3 State Machine 6.4 Basic Shift Register 6.5 Serial In/Serial Out Shift Register 6.6 Serial In/Parallel Out Shift Register

More information

Experiment # 12. Traffic Light Controller

Experiment # 12. Traffic Light Controller Experiment # 12 Traffic Light Controller Objectives Practice on the design of clocked sequential circuits. Applications of sequential circuits. Overview In this lab you are going to develop a Finite State

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Universal Asynchronous Receiver- Transmitter (UART)

Universal Asynchronous Receiver- Transmitter (UART) Universal Asynchronous Receiver- Transmitter (UART) (UART) Block Diagram Four-Bit Bidirectional Shift Register Shift Register Counters Shift registers can form useful counters by recirculating a pattern

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School Course Name : : ELECTRICAL ENGINEERING 2 ND YEAR ELECTRONIC DESIGN LAB Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School of

More information

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2002 4/5/02 Midterm Exam II Name: Solutions ID number:

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

EECS150 - Digital Design Lecture 15 Finite State Machines. Announcements

EECS150 - Digital Design Lecture 15 Finite State Machines. Announcements EECS150 - Digital Design Lecture 15 Finite State Machines October 18, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter Digital Clock The timing diagram figure 30.1a shows the time interval t 6 to t 11 and t 19 to t 21. At time interval t 9 the units counter counts to 1001 (9) which is the terminal count of the 74x160 decade

More information

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited April 2, 2013 John Wawrzynek Spring 2013 EECS150 - Lec19-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY 786 Chapter 8 Sequential Logic Design Practices test and measurement circuits, and metastability parameters for Cypress PLDs. Another recent note is Metastability Considerations from Xilinx Corporation

More information

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Review of digital electronics. Storage units Sequential circuits Counters Shifters Review of digital electronics Storage units Sequential circuits ounters Shifters ounting in Binary A counter can form the same pattern of 0 s and 1 s with logic levels. The first stage in the counter represents

More information

Other Flip-Flops. Lecture 27 1

Other Flip-Flops. Lecture 27 1 Other Flip-Flops Other types of flip-flops can be constructed by using the D flip-flop and external logic. Two flip-flops less widely used in the design of digital systems are the JK and T flip-flops.

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

You will be first asked to demonstrate regular operation with default values. You will be asked to reprogram your time values and continue operation

You will be first asked to demonstrate regular operation with default values. You will be asked to reprogram your time values and continue operation Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory (Spring 2006) Laboratory 2 (Traffic Light Controller) Check

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Counters Chapter 8 A System: Digital Clock Digital Clock: Counter Logic Diagram Digital Clock: Hours Counter & Decoders Finite State Machines Moore machine: One

More information

Scanned by CamScanner

Scanned by CamScanner NAVEEN RAJA VELCHURI DSD & Digital IC Applications Example: 2-bit asynchronous up counter: The 2-bit Asynchronous counter requires two flip-flops. Both flip-flop inputs are connected to logic 1, and initially

More information

WWW.STUDENTSFOCUS.COM + Class Subject Code Subject Prepared By Lesson Plan for Time: Lesson. No 1.CONTENT LIST: Introduction to Unit III 2. SKILLS ADDRESSED: Listening I year, 02 sem CS6201 Digital Principles

More information

Figure 1: segment of an unprogrammed and programmed PAL.

Figure 1: segment of an unprogrammed and programmed PAL. PROGRAMMABLE ARRAY LOGIC The PAL device is a special case of PLA which has a programmable AND array and a fixed OR array. The basic structure of Rom is same as PLA. It is cheap compared to PLA as only

More information

Chapter 5 Synchronous Sequential Logic

Chapter 5 Synchronous Sequential Logic Chapter 5 Synchronous Sequential Logic Chih-Tsun Huang ( 黃稚存 ) http://nthucad.cs.nthu.edu.tw/~cthuang/ Department of Computer Science National Tsing Hua University Outline Introduction Storage Elements:

More information

CSE 275 Digital Design Lab Lab 8 Serial Adder/Subtractor Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 2

CSE 275 Digital Design Lab Lab 8 Serial Adder/Subtractor Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 2 1 Discussion CSE 275 Digital Design Lab Lab 8 Serial Adder/Subtractor Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 2 The purpose of this lab is to design, simulate, and

More information

Task 4_B. Decoder for DCF-77 Radio Clock Receiver

Task 4_B. Decoder for DCF-77 Radio Clock Receiver Embedded Processor Lab (EIT-EMS-546-L-4) Task 4_B FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Task 4_B Decoder for DCF-77

More information

Digital Logic Design ENEE x. Lecture 24

Digital Logic Design ENEE x. Lecture 24 Digital Logic Design ENEE 244-010x Lecture 24 Announcements Homework 9 due today Thursday Office Hours (12/10) from 2:30-4pm Course Evaluations at the end of class today. https://www.courseevalum.umd.edu/

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Chapter 8 Registers & Counters

Chapter 8 Registers & Counters Chapter 8 Registers & Counters 8.1 Introduction Register is a type of sequential circuit used to store binary information or to manipulate the binary information which consists of flip-flops and combinational

More information

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB Digital Design LAB Islamic University Gaza Engineering Faculty Department of Computer Engineering Fall 2012 ECOM 2112: Digital Design LAB Eng: Ahmed M. Ayash Experiment # 9 Clock generator circuits & Counters

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

VeriLab. An introductory lab for using Verilog in digital design (first draft) VeriLab

VeriLab. An introductory lab for using Verilog in digital design (first draft) VeriLab VeriLab An introductory lab for using Verilog in digital design (first draft) VeriLab An introductory lab for using Verilog in digital design Verilog is a hardware description language useful for designing

More information

Chapter 7 Counters and Registers

Chapter 7 Counters and Registers Chapter 7 Counters and Registers Chapter 7 Objectives Selected areas covered in this chapter: Operation & characteristics of synchronous and asynchronous counters. Analyzing and evaluating various types

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

0 0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 1 1 Stop bits. 11-bit Serial Data format

0 0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 1 1 Stop bits. 11-bit Serial Data format Applications of Shift Registers The major application of a shift register is to convert between parallel and serial data. Shift registers are also used as keyboard encoders. The two applications of the

More information

LSN 12 Shift Registers

LSN 12 Shift Registers LSN 12 Shift Registers Department of Engineering Technology LSN 12 Shift Registers Digital circuits with data storage and data movement functions Storage capacity is the total number of bits of digital

More information

Side Street. Traffic Sensor. Main Street. Walk Button. Traffic Lights

Side Street. Traffic Sensor. Main Street. Walk Button. Traffic Lights 6.111 Laboratory 2 1 Laboratory 2 Finite State Machines Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory Handout

More information

Step 1 - shaft decoder to generate clockwise/anticlockwise signals

Step 1 - shaft decoder to generate clockwise/anticlockwise signals Workshop Two Shaft Position Encoder Introduction Some industrial automation applications require control systems which know the rotational position of a shaft. Similar devices are also used for digital

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM) Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design Laboratory 3: Finite State Machine (FSM) Mapping CO, PO, Domain, KI : CO2,PO3,P5,CTPS5 CO2: Construct logic circuit using

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

TSIU03, SYSTEM DESIGN. How to Describe a HW Circuit

TSIU03, SYSTEM DESIGN. How to Describe a HW Circuit TSIU03 TSIU03, SYSTEM DESIGN How to Describe a HW Circuit Sometimes it is difficult for students to describe a hardware circuit. This document shows how to do it in order to present all the relevant information

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors CSC258 Week 5 1 We are here Assembly Language Processors Arithmetic Logic Units Devices Finite State Machines Flip-flops Circuits Gates Transistors 2 Circuits using flip-flops Now that we know about flip-flops

More information

Combinational / Sequential Logic

Combinational / Sequential Logic Digital Circuit Design and Language Combinational / Sequential Logic Chang, Ik Joon Kyunghee University Combinational Logic + The outputs are determined by the present inputs + Consist of input/output

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

ECE337 Lab 4 Introduction to State Machines in VHDL

ECE337 Lab 4 Introduction to State Machines in VHDL ECE337 Lab Introduction to State Machines in VHDL In this lab you will: Design, code, and test the functionality of the source version of a Moore model state machine of a sliding window average filter.

More information

Inside Digital Design Accompany Lab Manual

Inside Digital Design Accompany Lab Manual 1 Inside Digital Design, Accompany Lab Manual Inside Digital Design Accompany Lab Manual Simulation Prototyping Synthesis and Post Synthesis Name- Roll Number- Total/Obtained Marks- Instructor Signature-

More information

CARLETON UNIVERSITY. Facts without theory is trivia. Theory without facts is bull 2607-LRB

CARLETON UNIVERSITY. Facts without theory is trivia. Theory without facts is bull 2607-LRB CARLETON UNIVERSITY Deparment of Electronics ELEC 267 Switching Circuits February 7, 25 Facts without theory is trivia. Theory without facts is bull Anon Laboratory 3.: The T-Bird Tail-Light Control Using

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 4: Latches, Flip-Flops, and Sequential Circuits Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Serial FIR Filter. A Brief Study in DSP. ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 GEORGE MASON UNIVERSITY.

Serial FIR Filter. A Brief Study in DSP. ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 GEORGE MASON UNIVERSITY. GEORGE MASON UNIVERSITY Serial FIR Filter A Brief Study in DSP ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 Instructions: Zip all your deliverables into an archive .zip and submit it

More information

ECSE-323 Digital System Design. Datapath/Controller Lecture #1

ECSE-323 Digital System Design. Datapath/Controller Lecture #1 1 ECSE-323 Digital System Design Datapath/Controller Lecture #1 2 Synchronous Digital Systems are often designed in a modular hierarchical fashion. The system consists of modular subsystems, each of which

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced Digital Logic Design EECS 303 http://ziyang.eecs.northwestern.edu/eecs303/ Teacher: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline Introduction Reset/set

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 6: Simple and Complex Programmable Logic Devices MEMORY 2 Volatile: need electrical power Nonvolatile: magnetic disk, retains its stored information after the removal

More information

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist Sequential circuits Same input can produce different output Logic circuit If the same input may produce different output signal, we have a sequential logic circuit. It must then have an internal memory

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

TMEL53, DIGITALTEKNIK. INTRODUCTION TO SYNCHRONOUS CIRCUITS, FLIP-FLOPS and COUNTERS

TMEL53, DIGITALTEKNIK. INTRODUCTION TO SYNCHRONOUS CIRCUITS, FLIP-FLOPS and COUNTERS LINKÖPING UNIVERSITY Department of Electrical Engineering TMEL53, DIGITALTEKNIK INTRODUCTION TO SYNCHRONOUS CIRCUITS, FLIP-FLOPS and COUNTERS Mario Garrido Gálvez mario.garrido.galvez@liu.se Linköping,

More information

Synchronous Sequential Design

Synchronous Sequential Design Synchronous Sequential Design SMD098 Computation Structures Lecture 4 1 Synchronous sequential systems Almost all digital systems have some concept of state the outputs of a system depends on the past

More information