IC Mask Design. Christopher Saint Judy Saint

Size: px
Start display at page:

Download "IC Mask Design. Christopher Saint Judy Saint"

Transcription

1 IC Mask Design Essential Layout Techniques Christopher Saint Judy Saint McGraw-Hill New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto

2 Contents Introduction Acknowledgments Open Letter to Circuit Designers xiii xv xvii Chapter 1 Digital Layout 1 1 OpeningThoughts on Digital Layout 1 Design Process 2 Verifying the Circuitry Logic 2 Compiling a Netlist 3 Drive Strength 4 Clock Tree Synthesis 5 Layout Process 7 Floorplanning 7 Block Placement 7 Gate Grouping 8 Block Level Connectivity 8 Using Flylines 9 Timing Checks 10 Placement 11 I/ODrivers 12 Routing 13 Power Nets 13 Strapping 14 Clock Net Wiring 15 Other Critical Nets 16 Remaining Nets 16 Finishing the Wiring by Hand 17 Prefabricated Gate Array Chips 18 Verification 19 Design Verification 19 Physical Verification 20

3 Vi CONTENTS GDSIIFile DRC and LVS Checks Library Management Summary and Flowchart Closure on Digital Layout Chapter 2 Standard Cell Techniques 25 OpeningThoughts on Standard Cell Techniques Standardized Grids Grid-Based Systems Determining Grid Size Rule-Based Routers Directional Layer Technique Library Rules for Grid-Based Systems Input and Output Alignment Fixed Height, Variable Width Determining Wire Gauge Common N Well Half-Grid Cell Sizing Half Design Rule Routing Channels Channel Routers Antenna Rules Standardized Input and Output Cells UsingStandardization in Analog Mask Design Closure on Standard Cell Techniques Chapter 3 Analog Layout Opening Thoughts on Analog Layout 49 Digital Skills vs. Analog Skills 50 Difference of Scale 50 Difference ofprimary Objective 51 Difference of Teamwork 51 Difference of Completion Schedule 52 Difference of Innovation 52 Difference of Constraints 52 Difference of Understanding Circuit Techniques 53 Three Key Questions 53

4 Contents vii QUESTION 1: What does this circuit do? 55 QUESTION 2: How much current does it take? 56 Calculating Current Densities 57 QUESTION 2a: Where are the high and low current paths? 58 Device Orientation 59 QUESTION 3: What matching requirements are there? 64 Additional Questions 64 Bipolar Analog 65 Expectations of an Analog Mask Designer 66 Closure on Analog Layout Appendix: Key Questions Discussion 71 Chapter 4 Parasitics 79 OpeningThoughts on Parasitics Parasitic Capacitance Wire Length Metal Selection Metal over Metal Parasitic Resistance Calculating IR Drops Wiring Options Parasitic Inductance Device Parasitics CMOS Transistor Example Bipolar Transistor Example Füll Custom Options Closure on Parasitics Chapter 5 Matching 97 OpeningThoughts on Matching Importance of Layout Importance of Communication Simple Matching Root Device Method Interdigitating Devices Dummy Devices Common Centroid

5 Viii CONTENTS Cross-Quading Symmetry Matching Signal Paths Device Size Choices Closure on Matching Rules of Matching Chapter 6 Noise Issues 121 OpeningThoughts on Noise Issues Noisy Neighbors Common Sense Noise Solutions Turn Down the Volume Rock Band Moves Inside Their House Go Inside Your Own House Close All Windows Call the Sheriff Move to a New Neighborhood Wire Solutions Coaxial Shielding Differential Signals Decoupled Power Rails Stacked Power Rails Harmonie Interference Closure on Noise Issues Chapter 7 Floorplanning 141 OpeningThoughts on Floorplanning Primary Drivers of Floorplanning Pin-Driven Planning Effect ofpin Placement ESD Supply Strategies Block-Driven Planning Signal-Driven Planning Reshaping Blocks Sizing Estimates Leaving Enough Room Estimating with Existing Circuitry Closure on Floorplanning

6 Contents ix Chapter 8 General Techniques General Techniques 161 #1 Pick Five or Six Non-minimum Design Rules 162 #2 Get Thee to the Lowest Parasitic Metal 165 #3 Plenty of Wide Wiring and Vias 165 #4 Don't Believe Your Circuit Designer 167 #5 Use a Consistent Orientation 168 #6 Don't Go Overboard 169 #7 Keep Off the Blocks 169 #8 Care for Your Sensitive and Noisy Signals Early 170 #9 If It Looks Nice, It Will Work 170 #10 Learn Your Process 171 #11 Don't Let Noise Find the Substrate 172 # 12 Spread Your Spinach around Your Dinner Plate 172 #13 Copy and Rename Cells before Making Changes 175 #14 Remember Your Hierarchy Level 176 #15 Build-in Easy Metal Revisions 177 #16 Draw Big Power Buses 182 #17 Break Up Large Circuits 184 Closure on General Techniques 184 Ancient Secrets of Mask Design 185 Chapter 9 Packaging 187 OpeningThoughts on Packaging Bonding Methods Ultrasonic Wedge Bonding Ultrasonic Ball Bonding Flip Chip Technology Multi-Tier Packaging Issues in Packaging Overall Appearance 45-Degree Rule Minimal Silicon Overlap Wire Length Päd Distribution Sizing Estimates Pad-Limited Design Core-Limited Design Package Maximum Check Final Die Size Calculations Filling Päd Gaps Closure on Packaging

7 x CONTENTS Chapter 10 Verification 209 Opening Thoughts on Verification Checking Software Design Rule Check (DRC) Boolean Command Lines AND Function OR Function NOT Function Rule Checking Command Lines Layout Versus Schematic (LVS) Netlists Problem Solving 1. Check Number of Devices 2. Check Types of Devices 3. Check Number of Nets 4. Solving Complex Net Problems a. Power Supplies b. Named Nets 5. Don't Trust Your Circuit Designer 6. Check for Possible Swapping Over 7. Check for a Top Level Short 8. Check for Ninja Invisibility 9. Know Your Circuits 10. Let Others Help Closure on Verification Chapter 11 Data Formats OpeningThoughts on Data Formats Industry Standard Database Formats Header Information Coordinating Resolutions Pattern Generation Know Your Grids Closure on Data Formats Case Study #1 CMOS Amplifier The New Job Assignment Bill Reasons His Floorplan Bill Thinks Through His Layout

8 Contents xi Ted Returns 272 Bill Rethinks 280 The Chip Is Assembled 304 Packaging 325 Appendix 326 Case Study #2 Bipolar Mixer 341 Introduction to Case Study 2 The Assignment "What Does the Circuit Do?" "What Are the Circuit Requirements?" Bipolar Transistor Review First Layout Initial Overview Current Source Transistors Resistors Lower Pair Upper Quad Loads Output Resistors Analysis of First Layout Bipolar Transistor Layout Wrap-Around Technique Second Layout Current Source Resistors Lower Pair Interdigitation Plan Inputs Upper Quad Interdigitation Plan

9 XÜ CONTENTS Inputs Loads Interdigitation Plan Resistors Outputs Analysis of Second Layout Third Layout Lower Pair Cross-Quading Plan Inputs Final Analysis Comparison of Case Study 1 and Case Study Beglnnings The Four Engineers Outtakes Contact Us Suggested Readings and Resources Educational Programs Glossary Index

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process (Lec 11) From Logic To Layout What you know... Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process High-level design description

More information

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 The goal of this project is to design a chip that could control a bicycle taillight to produce an apparently random flash sequence. The chip should operate

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Xpedition Layout for Package Design. Student Workbook

Xpedition Layout for Package Design. Student Workbook Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn:

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn: IC Layout Design of Decoder Using Electrical VLSI System Design 1.UPENDRA CHARY CHOKKELLA Assistant Professor Electronics & Communication Department, Guru Nanak Institute Of Technology-Ibrahimpatnam (TS)-India

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective

PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective Khosrow Golshan Conexant Systems, Inc. 1 3 Khosrow Golshan Conexant

More information

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking. EE141-Fall 2011 Digital Integrated Circuits Lecture 2 Clock, I/O Timing 1 4 Administrative Stuff Pipelining Project Phase 4 due on Monday, Nov. 21, 10am Homework 9 Due Thursday, December 1 Visit to Intel

More information

EE262: Integrated Analog Circuit Design

EE262: Integrated Analog Circuit Design EE262: Integrated Analog Circuit Design Instructor: Dr. James Morizio Home phone: 919-596-8069, Cell Phone 919-225-0615 email: jmorizio@ee.duke.edu Office hours: Thursdays 5:30-6:30pm Grader: Himanshu

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Digital Principles and Design

Digital Principles and Design Digital Principles and Design Donald D. Givone University at Buffalo The State University of New York Grauu Boston Burr Ridge, IL Dubuque, IA Madison, Wl New York San Francisco St. Louis Bangkok Bogota

More information

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm Overview: In this assignment you will design a register cell. This cell should be a single-bit edge-triggered D-type

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information

Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification

Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification by Ketan Padalia Supervisor: Jonathan Rose April 2001 Automatic Transistor-Level Design

More information

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction 1 Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 2 Course Overview Lecturer Teaching Assistant Course Team E-mail:

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

Project 6: Latches and flip-flops

Project 6: Latches and flip-flops Project 6: Latches and flip-flops Yuan Ze University epartment of Computer Engineering and Science Copyright by Rung-Bin Lin, 1999 All rights reserved ate out: 06/5/2003 ate due: 06/25/2003 Purpose: This

More information

Layout Analysis Analog Block

Layout Analysis Analog Block Layout Analysis Analog Block Sample Report Analysis from an HD Video/Audio SoC For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course Session Number 1532 Adding Analog and Mixed Signal Concerns to a Digital VLSI Course John A. Nestor and David A. Rich Department of Electrical and Computer Engineering Lafayette College Abstract This paper

More information

Cascadable 4-Bit Comparator

Cascadable 4-Bit Comparator EE 415 Project Report for Cascadable 4-Bit Comparator By William Dixon Mailbox 509 June 1, 2010 INTRODUCTION... 3 THE CASCADABLE 4-BIT COMPARATOR... 4 CONCEPT OF OPERATION... 4 LIMITATIONS... 5 POSSIBILITIES

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill White Paper Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill May 2009 Author David Pemberton- Smith Implementation Group, Synopsys, Inc. Executive Summary Many semiconductor

More information

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation Harris Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University

More information

Features OBSOLETE. = +25 C, As an IRM. IF = MHz. Frequency Range, RF GHz. Frequency Range, LO

Features OBSOLETE. = +25 C, As an IRM. IF = MHz. Frequency Range, RF GHz. Frequency Range, LO v.17 Typical Applications The is ideal for: Microwave Radio & VSAT Test Instrumentation Military Radios Radar & ECM Space Functional Diagram Electrical Specifications, T A = +25 C, As an IRM Parameter

More information

Digital Television Fundamentals

Digital Television Fundamentals Digital Television Fundamentals Design and Installation of Video and Audio Systems Michael Robin Michel Pouiin McGraw-Hill New York San Francisco Washington, D.C. Auckland Bogota Caracas Lisbon London

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model Norio Matsui Applied Simulation Technology 2025 Gateway Place #318 San Jose, CA USA 95110 matsui@apsimtech.com Neven Orhanovic

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

Introduction to CMOS VLSI Design (E158) Lab 3: Datapath and Zipper Assembly

Introduction to CMOS VLSI Design (E158) Lab 3: Datapath and Zipper Assembly Harris Introduction to CMOS VLSI Design (E158) Lab 3: Datapath and Zipper Assembly An n-bit datapath consists of n identical horizontal bitslices 1. Data signals travel horizontally along the bitslice.

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

VLSI Design Digital Systems and VLSI

VLSI Design Digital Systems and VLSI VLSI Design Digital Systems and VLSI Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author 1 Overview Why VLSI? IC Manufacturing CMOS Technology

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. T.Vijay Kumar, M.Tech Associate Professor, Dr.K.V.Subba Reddy Institute of Technology.

More information

EECS 140 Laboratory Exercise 7 PLD Programming

EECS 140 Laboratory Exercise 7 PLD Programming 1. Objectives EECS 140 Laboratory Exercise 7 PLD Programming A. Become familiar with the capabilities of Programmable Logic Devices (PLDs) B. Implement a simple combinational logic circuit using a PLD.

More information

Basic TV Technology: Digital and Analog

Basic TV Technology: Digital and Analog Basic TV Technology: Digital and Analog Fourth Edition Robert L. Hartwig AMSTERDAM. BOSTON. HEIDELBERG LONDON. NEW YORK. OXFORD PARIS. SAN DIEGO. SAN FRANCISCO SINGAPORE. SYDNEY TOKYO ELSEVIER Focal Press

More information

Analog Integrated Circuit Design Automation

Analog Integrated Circuit Design Automation Analog Integrated Circuit Design Automation Ricardo Martins Nuno Lourenço Nuno Horta Analog Integrated Circuit Design Automation Placement, Routing and Parasitic Extraction Techniques Ricardo Martins

More information

Power Distribution and Clock Design

Power Distribution and Clock Design Lecture 3 Power Distribution and Clock Design R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Overview of Lecture Power distribution in the past was a fairly simple task Goal of power

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Challenges in the design of a RGB LED display for indoor applications

Challenges in the design of a RGB LED display for indoor applications Synthetic Metals 122 (2001) 215±219 Challenges in the design of a RGB LED display for indoor applications Francis Nguyen * Osram Opto Semiconductors, In neon Technologies Corporation, 19000, Homestead

More information

CMOS VLSI Design. Lab 3: Datapath and Zipper Assembly

CMOS VLSI Design. Lab 3: Datapath and Zipper Assembly Harris CMOS VLSI Design Lab 3: Datapath and Zipper Assembly An n-bit datapath consists of n identical horizontal bitslices 1. Data signals travel horizontally along the bitslice. Control signals run vertically

More information

Designing VeSFET-based ICs with CMOS-oriented EDA Infrastructure

Designing VeSFET-based ICs with CMOS-oriented EDA Infrastructure Designing VeSFET-based ICs with CMOS-oriented ED Infrastructure Xiang Qiu, Malgorzata Marek-Sadowska University of California, Santa arbara Wojciech Maly Carnegie Mellon University Outline Introduction

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK v2.17 HMC55 MIXER, 11-2 GHz Typical

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

INF4420 Project Spring Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC)

INF4420 Project Spring Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC) INF4420 Project Spring 2011 Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC) 1. Introduction Data converters are one of the fundamental building blocks in integrated circuit design.

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

STMicroelectronics S550B1A CMOS Image Sensor Imager Process Report

STMicroelectronics S550B1A CMOS Image Sensor Imager Process Report October 13, 2006 STMicroelectronics S550B1A CMOS Image Sensor Imager Process Report For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Photodiode Detector with Signal Amplification

Photodiode Detector with Signal Amplification 107 Bonaventura Dr., San Jose, CA 95134 Tel: +1 408 432 9888 Fax: +1 408 432 9889 www.x-scanimaging.com Linear X-Ray Photodiode Detector Array with Signal Amplification XB8801R Series An X-Scan Imaging

More information

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, 2012 Fig. 1. VGA Controller Components 1 VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University

More information

Technology Overview LTCC

Technology Overview LTCC Sheet Code RFi0604 Technology Overview LTCC Low Temperature Co-fired Ceramic (LTCC) is a multilayer ceramic substrate technology that allows the realisation of multiple embedded passive components (Rs,

More information

Component Placement Tutorial Part One

Component Placement Tutorial Part One CIRCUIT BOARD DESIGNERS WEB SITE Component Placement Tutorial Part One by Jack Olson CATERPILLAR IN SUMMARY Jack Olson is creating an introductory tutorial Web site for novice circuit board designers.

More information

Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs

Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs Die 1 Die 0 Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs Shreepad Panth and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Email:

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline A Brief History CMOS Gate esign Pass Transistors CMOS Latches & Flip-Flops Standard Cell Layouts Stick iagrams 2 A Brief History 1958: First integrated circuit Flip-flop

More information

GaAs MMIC Triple Balanced Mixer

GaAs MMIC Triple Balanced Mixer Page 1 The is a passive MMIC triple balanced mixer. It features a broadband IF port that spans from 2 to 20 GHz, and has excellent spurious suppression. GaAs MMIC technology improves upon the previous

More information

B. Sc. III Semester (Electronics) - ( ) Digital Electronics-II) BE-301 MODEL ANSWER (AS-2791)

B. Sc. III Semester (Electronics) - ( ) Digital Electronics-II) BE-301 MODEL ANSWER (AS-2791) B. Sc. III Semester (Electronics) - (2013-14) Digital Electronics-II) BE-301 MODEL ANSWER (AS-2791) Section-[A] i. (B) ii. (A) iii. (D) iv. (C) v. (C) vi. (C) vii. (D) viii. (B) Ans-(ix): In JK flip flop

More information

Advanced MEMS Packaging

Advanced MEMS Packaging Advanced MEMS Packaging John H. Lau Chengkuo Lee C. S. Premachandran Yu Aibin Ш New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto Contents

More information

Wire Delay and Switch Logic

Wire Delay and Switch Logic Wire Delay and Switch Logic Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Topics Wire delay Buffer insertion Crosstalk Switch logic

More information

High Speed Digital Design Seminar

High Speed Digital Design Seminar High Speed Digital Design Seminar Introduction to Black Magic, with Dr. Howard Johnson About this course Printable Index 1. Vocabulary of Signal Integrity High Speed Digital Design: Opening Lecture. HSDD

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY 2015 317 Scan Test of Die Logic in 3-D ICs Using TSV Probing Brandon Noia, Shreepad Panth, Krishnendu Chakrabarty,

More information

ADE Assembler Flow for Rapid Design of High-Speed Low-Power Circuits

ADE Assembler Flow for Rapid Design of High-Speed Low-Power Circuits DEPARTMENT OF INFORMATION TECHNOLOGY IDLab ADE Assembler Flow for Rapid Design of High-Speed Low-Power Circuits Wouter Soenen, Bart Moeneclaey, Xin Yin and Johan Bauwelinck High-speed and low-power circuit

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Digital Systems Design

Digital Systems Design ECOM 4311 Digital Systems Design Eng. Monther Abusultan Computer Engineering Dept. Islamic University of Gaza Page 1 ECOM4311 Digital Systems Design Module #2 Agenda 1. History of Digital Design Approach

More information

DIGITAL FUNDAMENTALS

DIGITAL FUNDAMENTALS DIGITAL FUNDAMENTALS A SYSTEMS APPROACH THOMAS L. FLOYD PEARSON Boston Columbus Indianapolis New York San Francisco Upper Saddle River Amsterdam Cape Town Dubai London Madrid Milan Munich Paris Montreal

More information

GaAs MMIC Double Balanced Mixer

GaAs MMIC Double Balanced Mixer Page 1 The is a passive double balanced MMIC mixer. It features excellent conversion loss, superior isolations and spurious performance across a broad bandwidth, in a highly miniaturized form factor. Low

More information

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis October 31, 2003 Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis Table of Contents List of Figures...Page 1 Introduction...Page 4 Device Summary Sheet...Page 6 Top Level Diagram...Tab

More information

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review September 21, 2005 MagnaChip HV7161SP 1.3 Megapixel Process Review For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Practical De-embedding for Gigabit fixture. Ben Chia Senior Signal Integrity Consultant 5/17/2011

Practical De-embedding for Gigabit fixture. Ben Chia Senior Signal Integrity Consultant 5/17/2011 Practical De-embedding for Gigabit fixture Ben Chia Senior Signal Integrity Consultant 5/17/2011 Topics Why De-Embedding/Embedding? De-embedding in Time Domain De-embedding in Frequency Domain De-embedding

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

2 The Essentials of Binary Arithmetic

2 The Essentials of Binary Arithmetic ENGG1000: Engineering esign and Innovation Stream: School of EE&T Lecture Notes Chapter 5: igital Circuits A/Prof avid Taubman April5,2007 1 Introduction This chapter can be read at any time after Chapter

More information

Features. LO = +13 dbm, IF = 1 GHz Parameter. Units Min. Typ. Max. Frequency Range, RF & LO GHz Frequency Range, IF DC - 8 GHz

Features. LO = +13 dbm, IF = 1 GHz Parameter. Units Min. Typ. Max. Frequency Range, RF & LO GHz Frequency Range, IF DC - 8 GHz v.17 MIXER, 25 - GHz Typical Applications The is ideal for: LMDS Microwave Point-to-Point Radios SATCOM Functional Diagram Features Passive: No DC Bias Required Input IP3: +19 dbm LO/RF Isolation: 2 db

More information

Multi-project Sensor Chip Design Global Pad Placement

Multi-project Sensor Chip Design Global Pad Placement Institute of Integrated Sensor Systems Dept. of Eletrical Engineering and Information Technology Multi-project Sensor Chip Design Global Pad Placement Jiawei Yang February, 2009 Prof. Dr.-Ing. Andreas

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory How to Make Your 6.111 Project Work There are a few tricks

More information

Features. = +25 C, IF = 1GHz, LO = +13 dbm*

Features. = +25 C, IF = 1GHz, LO = +13 dbm* v2.312 HMC6 MIXER, 24-4 GHz Typical Applications Features The HMC6 is ideal for: Test Equipment & Sensors Microwave Point-to-Point Radios Point-to-Multi-Point Radios Military & Space Functional Diagram

More information

GaAs DOUBLE-BALANCED MIXER

GaAs DOUBLE-BALANCED MIXER MM1-3H The MM1-3H is a passive double balanced MMIC mixer. It features excellent conversion loss, superior isolations and spurious performance across a broad bandwidth, in a highly miniaturized form factor.

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

GaAs DOUBLE-BALANCED MIXER

GaAs DOUBLE-BALANCED MIXER The MM1-312S is a high linearity passive double balanced MMIC mixer. The S diode offers superior 1 db compression, two tone intermodulation performance, and spurious suppression to other GaAs MMIC mixers.

More information

GaAs DOUBLE-BALANCED MIXER

GaAs DOUBLE-BALANCED MIXER MM1-185H The MM1-185H is a passive double balanced MMIC mixer. It features excellent conversion loss, superior isolations and spurious performance across a broad bandwidth, in a highly miniaturized form

More information

An Efficient IC Layout Design of Decoders and Its Applications

An Efficient IC Layout Design of Decoders and Its Applications An Efficient IC Layout Design of Decoders and Its Applications Dr.Arvind Kundu HOD, SCIENT Institute of Technology. T.Uday Bhaskar, M.Tech Assistant Professor, SCIENT Institute of Technology. B.Suresh

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Lecture 10: Sequential Circuits

Lecture 10: Sequential Circuits Introduction to CMOS VLSI esign Lecture 10: Sequential Circuits avid Harris Harvey Mudd College Spring 2004 1 Outline Floorplanning Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 Lecture 9: TX Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Next

More information

A pixel chip for tracking in ALICE and particle identification in LHCb

A pixel chip for tracking in ALICE and particle identification in LHCb A pixel chip for tracking in ALICE and particle identification in LHCb K.Wyllie 1), M.Burns 1), M.Campbell 1), E.Cantatore 1), V.Cencelli 2) R.Dinapoli 3), F.Formenti 1), T.Grassi 1), E.Heijne 1), P.Jarron

More information

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2 Features Frequency Range: 32 to Small Signal Gain: 18 db Saturated Power: 37 dbm Power Added Efficiency: 23% % On-Wafer RF and DC Testing % Visual Inspection to MIL-STD-883 Method Bias V D = 6 V, I D =

More information

Light Emitting Diodes and Digital Circuits I

Light Emitting Diodes and Digital Circuits I LED s and Digital Circuits I. p. 1 Light Emitting Diodes and Digital Circuits I Tasks marked by an asterisk (*) may be carried out before coming to the lab. The Light Emitting Diode: The light emitting

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

Application Note AN-LD09 Rev. B Troubleshooting Low Noise Systems. April, 2015 Page 1 NOISE MEASUREMENT SYSTEM BASELINES INTRODUCTION

Application Note AN-LD09 Rev. B Troubleshooting Low Noise Systems. April, 2015 Page 1 NOISE MEASUREMENT SYSTEM BASELINES INTRODUCTION Troubleshooting Low Noise Systems April, 2015 Page 1 INTRODUCTION The exceedingly low level of electronic noise produced by the QCL family of drivers makes narrower linewidths and stable center wavelengths

More information

GaAs MMIC Double Balanced Mixer

GaAs MMIC Double Balanced Mixer Page 1 The is a passive double balanced MMIC mixer. It features excellent conversion loss, superior isolations and spurious performance across a broad bandwidth, in a highly miniaturized form factor. Low

More information

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes http://cmp.imag.fr STMicroelectronics Technology offers at CMP: 160nm CMOS: BCD8SP 1994 at CMP 160nm

More information

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Optimizing area of local routing network by reconfiguring look up tables (LUTs) Vol.2, Issue.3, May-June 2012 pp-816-823 ISSN: 2249-6645 Optimizing area of local routing network by reconfiguring look up tables (LUTs) Sathyabhama.B 1 and S.Sudha 2 1 M.E-VLSI Design 2 Dept of ECE Easwari

More information

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis July 6, 2006 STMicroelectronics NAND128W3A2BN6E Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

IC TECHNOLOGY Lecture 2.

IC TECHNOLOGY Lecture 2. IC TECHNOLOGY Lecture 2. IC Integrated Circuit Technology Integrated Circuit: An integrated circuit (IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: High Speed Serial Link Transceiver Project number: 4 Project Group: Name Project members Telephone

More information