Slack Redistribution for Graceful Degradation Under Voltage Overscaling

Size: px
Start display at page:

Download "Slack Redistribution for Graceful Degradation Under Voltage Overscaling"

Transcription

1 Slack Redistribution for Graceful Degradation Under Voltage Overscaling Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar and John Sartori VLSI CAD LABORATORY, UCSD PASSAT GROUP, UIUC UCSD VLSI CAD Laboratory and UIUC PASSAT Group - ASPDAC, Jan. 21, 2010

2 Outline Background and motivation Voltage scaling and BTWC designs Limitation of Traditional CAD Flow Power-Aware Slack Redistribution Our design optimization goal Related work: BlueShift Our Heuristic Experimental Framework and Results Design methodology Testbed Results and analysis Conclusions and Ongoing Work (2/25)

3 Reducing Power with Voltage Scaling Power is a first-order design constraint Voltage scaling can significantly reduce power Voltage scaling may result in timing violations Power Timing errors begin to occur Voltage (lower voltage) Voltage scaling is limited because of timing errors (3/25)

4 Better-Than-Worst-Case Design Better-Than-worst-case (BTWC) design approach Optimize for normal operating conditions Trade off reliability and power/performance Have error detection/correction mechanism (e.g., Razor*) Traditional IC design Does not allow timing errors in STA Fixed target frequency and operating voltage BTWC design Error correction architecture allows timing errors CPU, Heal Thyself... Overclocking or voltage overscaling * Ernst et al. Razor: A low power pipeline based on circuit-level timing speculation, Proc. MICRO BTWC design allows tradeoffs between reliability and power (4/25)

5 Voltage Scaling with Error Correction Error correction incurs power overhead Minimum power at point b A B B A Voltage v pwr(v) : Power consumption at v Voltage v P E (v) : Error rate at voltage v Overscaling is possible for Better-Than-Worst-Case designs (5/25)

6 Limitations of Traditional CAD Flow Conventional designs exhibit critical operating points Many paths have near-critical slack wall of (critical) slack Scaling beyond COP causes massive errors that cannot be corrected Conventional designs fail critically when voltage is scaled down Zero slack wall of slack Timing slack Error rate should be increased gracefully : gradual slope slack COP Lower voltage Higher frequency (6/25)

7 Outline Background and motivation Voltage scaling and BTWC designs Limitation of Traditional CAD Flow Power-Aware Slack Redistribution Our design optimization goal Related work: BlueShift Our Heuristic Experimental Framework and Results Design methodology Testbed Results and analysis Conclusions and Ongoing Work (7/25)

8 Our Design Optimization Goal Problem: Minimize power for a given error rate Goal: Achieve a gradual slope slack distribution Approach: Frequently-exercised paths: upsize cells Rarely-exercised paths: downsize cells wall of slack Number of paths gradual slope slack with gradual failure characteristic Zero slack after voltage scaling We make a gradual slope slack distribution Timing slack (8/25)

9 Related Work: BlueShift BlueShift* : maximize frequency for a given error rate Gate-level simulation BlueShift speed up Paths with the highest frequency of timing errors FBB (forward body-biasing) & Timing override Limitation Compute error rate ER < Target Finish * Grescamp et al. Blueshift: Designing processors for timing speculation from the ground up, HPCA 2009 YES Repetitive gate level simulation impractical Design overhead of FBB NO Speed up paths BlueShift is impractical with modern SOC designs (9/25)

10 Our Heuristic Optimize slack distribution by cell swaps, exploiting switching activity information Iteratively scale target voltage the until error rate exceeds a target, and optimize negative slack paths Set initial voltage Optimize Paths Error rate estimation ER < ER target NO Power Reduction Voltage scaling YES Finish Our heuristic: Voltage scaling Optimize paths Power reduction (10/25)

11 Heuristic Implementation Voltage Scaling Set initial voltage Optimize Paths Error rate estimation ER < ER target NO Power Reduction Voltage scaling YES Finish Negative Slack of Path A at the target voltage Nominal voltage Target voltage (fixed) Path A Path B Path C Actual voltage at the target error rate Unnecessary cell sizing Target voltage (fixed) Lower Optimize voltage with fixed incrementally target voltage Load a pre-characterized library at each voltage point With iterative voltage scaling, we can find minimum operating voltage (11/25)

12 Heuristic Implementation Optimize Paths Set initial voltage Optimize Paths Error rate estimation ER < ER target NO Power Reduction Voltage scaling YES Finish Main idea: increase slack of frequently-exercised paths in order of increasing switching activity Procedure 1. Pick a critical path p with maximum switching activity 2. Resize cell instance c i in p 3. If slack of path p is not improved, cell change is restored 4. Repeat 2. ~ 3. for all cell instances in path p 5. Repeat 2.~ 4. for all critical paths OptimizePaths procedure reduces error rates and enables further voltage scaling (12/25)

13 Heuristic Implementation Power Reduction Set initial voltage Optimize Paths Voltage scaling Error rate estimation ER < ER target Main idea: Downsize cells on rarely-exercised paths in order of decreasing toggle rate Procedure 1. Pick a cell c with minimum toggle rate 2. Downsize cell c with logically equivalent cell 3. Incremental timing analysis and check error rate 4. If error rate is increased, cell change is restored 5. Repeat 1. ~ 4. YES NO Power Reduction Finish PowerReduction procedure reduces power without affecting error rate (13/25)

14 Heuristic Implementation Error Rate Estimation Set initial voltage Optimize Paths Error rate estimation ER < ER target NO Power Reduction Voltage scaling YES Finish Error rate estimation: use toggle rate from SAIF(Switching Error Activity rate Interchange contribution Format) Error rate of an entire design of one flip-flop P 1 ER = α TG = TG A ER ff f/f TG(P 1 ) = 0.3 TG(P 2 ) = 0.2 TG(P 3 ) = 0.1 TG(X) = 0.6 D ER ff CLK P 2 X PNEG ff D ff X P 3 TGf/f PALL P 1 P 2 P 1 P 2 P 1 P 3 Slack(P 1 ) = postive Slack(P 2 ) = negative Slack(P 3 ) = positive ER(X) = TG(X) α : compensation parameter Timing Error We estimate error rates without functional simulation TG(P 2 ) TG(P 1 ) + TG(P 2 ) + TG(P 3 ) = 0.2 (14/25)

15 Power Reduction Through Slack Redistribution Power Minimum power P min is obtained at minimum operating voltage V min 1. OptimizePaths Minimize error rate Enable to scale voltage further 2. ReducePower Downsize cells Obtain additional power reduction P min P min P min Power consumption Operating point V min Error rate Error rate Operating 1. OptimizePaths point 1 Maximum error rate 2 Operating point 2. ReducePower V min (lower voltage) (15/25)

16 Outline Background and motivation Voltage scaling and BTWC designs Limitation of Traditional CAD Flow Power-Aware Slack Redistribution Our design optimization goal Related work: BlueShift Our Heuristic Experimental Framework and Results Design methodology Testbed Results and analysis Conclusions and Ongoing Work (16/25)

17 Design Methodology Functional Library ECO Benchmark Heuristic P&R characterization (Slack simulation generation Optimization) Cadence Virtutech Implement NC SignalStorm SOCEncounter Simics in Verilog C++ and Full system Gate use Synopsys ECO Tcl level implementation socket simulation Liberty interface and generation capture with for test each vectors Synopsys voltage PrimeTime (17/25)

18 Testbed Target design : sub-modules of OpenSPARC T1 Benchmark Ammp, bzip2, equake, sort and twolf Make test vectors with 1 billion cycles for each sub-module Implementation TSMC 65GP technology with standard SP&R flow (18/25)

19 List of Experiments Design techniques 1. SP&R with 0.8 GHz (loose constraints) 2. SP&R with 1.2 GHz (tight constraints) 3. Blueshift: timing override 4. Slack Optimizer Experiments compare all design techniques with respect to: 1. Power consumption at each voltage point 2. Actual error rates from gate level simulation 3. Power consumption at each target error rate 4. Estimated processor-wide power consumption (19/25)

20 Error Rate and Power Results Error rate at each operating voltage (test case : lsu_dctl) Power consumption at each operating voltage (20/25)

21 Comparison of Power and Slack Results Power consumption at each target error rate Slack distribution (21/25)

22 Power Reduction and Area Overhead Power reduction after optimization 2% error rate) Area overhead of design approaches Max %, Avg. 12.5% power reduction (22/25)

23 Processor-wide Results * *Kahng et al. Designing a Processor From the Ground Up to Allow Voltage/Reliability Tradeoffs, HPCA Slack optimization extends range of voltage scaling and reduces Razor recovery cost (23/25)

24 Conclusions and Ongoing Work Showed limitations of a BTWC design Presented design technique slack redistribution Optimize frequently exercised critical paths De-optimize rarely-exercised paths Demonstrated significant power benefits of gradual slack design Reduced power 33% on maximum, 12.5% on average Ongoing work Reliability-power tradeoffs for embedded memory Applying to heterogeneous multi-core architecture (24/25)

25 THANK YOU UCSD VLSI CAD Laboratory and UIUC PASSAT Group - ASPDAC, Jan. 21, 2010

26 BACKUP UCSD VLSI CAD Laboratory and UIUC PASSAT Group - ASPDAC, Jan. 21, 2010

27 CPU, Heal Thyself Razor* system Timing errors can be corrected Manage the trade-off between system voltage and error rate New design methodology is needed * Razor: A low power pipeline based on circuit-level timing speculation. In International Symposium on Micro architecture, December (27/25)

28 Razor How it works Razor Implementation Razor: A low power pipeline based on circuit-level timing speculation. In International Symposium on Microarchitecture, December Main flip-flop latches at T, but Shadow latch latches at T+skew If a timing violation occurs, main flip-flop will latch incorrect value, but shadow latch should latch correct value Comparator signals error and the late arriving value is fed back into the main flip-flop (28/25)

29 BTWC: Voltage Scaling Voltage Overclocking scaling case case PE(f) perf(f) Minimum Maximum power performance at point c b c a c b c a b vf vf vf a vf b vf c (lower voltage) vf a vf b vf c P E (v) (f) : Error rate at frequency voltage v f pwr(v) perf(f) : Performance Power consumption at f at v Error correction needs additional clock cycles and incurs power overhead (29/25)

30 Limitation of Voltage Scaling At some voltage, circuit breaks down Errors / Cycle Voltage Voltage scaling must halt after only 10% scaling. (30/25)

31 Reason for Steep Error Degradation Critical paths are bunched up in traditional designs. (31/25)

32 Slack Re-distribution Example Negative Positive Slack Error Rate = 1% 25% Negative Positive Slack (32/25)

33 Heuristic Implementation Error Rate Estimation Error rate contribution of one flip-flop (1) ER = Error rate of an entire design ER ff TG = α (2) α : compensation parameter Actual vs. estimated error rates ff TG D ER ff ff D TG P P NEG ALL (33/25)

34 Gradual Slack Distribution Slack optimization achieves gradual slack distribution. (34/25)

35 Processor Error Rate and Power Designs with comparable error rates have much higher power/area overheads. (35/25)

36 Reliability/Power Tradeoff Slack-optimized design enjoys continued power reduction as error rate increases. (36/25)

37 Enhancing Razor-based Design Slack optimization extends range of voltage scaling and reduces Razor recovery cost. (37/25)

38 Moore s Law Power consumption of processor node doubles every 18 months. (38/25)

39 Power Scaling With current design techniques, processor power soon on par with nuclear power plant (39/25)

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION Shohaib Aboobacker TU München 22 nd March 2011 Based on Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation Dan

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and How to Break Them) Prof. Todd Austin Advanced Computer Architecture Lab University of Michigan austin@umich.edu Once upon a time 1 Rules of Low-Power Design P = acv

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction 1 Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction Matthew Fojtik, David Fick, Yejoong Kim, Nathaniel Pinckney, David Harris, David Blaauw, Dennis Sylvester mfojtik@umich.edu

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Figure 1. Setup/hold definition for the sequential cells

Figure 1. Setup/hold definition for the sequential cells Introduction Setup/hold interdependence in the pulsed latch (Spinner cell) The frequency of the very large Systems-on-Chip continuously increases over the years. Operating frequencies of up to 1 GHz are

More information

Reducing Pipeline Energy Demands with Local DVS and Dynamic Retiming

Reducing Pipeline Energy Demands with Local DVS and Dynamic Retiming Reducing Pipeline Energy Demands with Local DVS and Dynamic Retiming Seokwoo Lee, Shidhartha Das, Toan Pham, Todd Austin, David Blaauw, and Trevor Mudge Advanced Computer Architecture Lab The University

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Power-Optimal Pipelining in Deep Submicron Technology

Power-Optimal Pipelining in Deep Submicron Technology ISLPED 2004 8/10/2004 -Optimal Pipelining in Deep Submicron Technology Seongmoo Heo and Krste Asanovi Computer Architecture Group, MIT CSAIL Traditional Pipelining Goal: Maximum performance Vdd Clk-Q Setup

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering NCTU CHIH-LONG CHANG IRIS HUI-RU JIANG YU-MING YANG EVAN YU-WEN TSAI AKI SHENG-HUA CHEN IRIS Lab National Chiao Tung University

More information

Power-Driven Flip-Flop p Merging and Relocation. Shao-Huan Wang Yu-Yi Liang Tien-Yu Kuo Wai-Kei Tsing Hua University

Power-Driven Flip-Flop p Merging and Relocation. Shao-Huan Wang Yu-Yi Liang Tien-Yu Kuo Wai-Kei Tsing Hua University Power-Driven Flip-Flop p Merging g and Relocation Shao-Huan Wang Yu-Yi Liang Tien-Yu Kuo Wai-Kei Mak @National Tsing Hua University Outline Introduction Problem Formulation Algorithms Experimental Results

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Clock Tree Power Optimization of Three Dimensional VLSI System with Network Clock Tree Power Optimization of Three Dimensional VLSI System with Network M.Saranya 1, S.Mahalakshmi 2, P.Saranya Devi 3 PG Student, Dept. of ECE, Syed Ammal Engineering College, Ramanathapuram, Tamilnadu,

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits Nov 26, 2002 John Wawrzynek Outline SR Latches and other storage elements Synchronizers Figures from Digital Design, John F. Wakerly

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction 1 Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 2 Course Overview Lecturer Teaching Assistant Course Team E-mail:

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

Interconnect Planning with Local Area Constrained Retiming

Interconnect Planning with Local Area Constrained Retiming Interconnect Planning with Local Area Constrained Retiming Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University,West Lafayette, IN, 47907, USA {lur, chengkok}@ecn.purdue.edu

More information

High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design

High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design 2014 IEEE Computer Society Annual Symposium on VLSI High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design Can Sitik, Leo Filippini Electrical and Computer Engineering Drexel University

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation

Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev Rao, Toan Pham, Conrad Ziesler, David Blaauw, Todd Austin, Krisztian Flautner

More information

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects Abstract Nisar Ahmed, Mohammad Tehranipoor Dept. of Electrical & Computer Engineering University of Connecticut tehrani@engr.uconn.edu

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN 1 L.RAJA, 2 Dr.K.THANUSHKODI 1 Prof., Department of Electronics and Communication Engineeering, Angel College of Engineering and Technology,

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Built-In Proactive Tuning System for Circuit Aging Resilience

Built-In Proactive Tuning System for Circuit Aging Resilience IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems Built-In Proactive Tuning System for Circuit Aging Resilience Nimay Shah 1, Rupak Samanta 1, Ming Zhang 2, Jiang Hu 1, Duncan

More information

Spring 2017 EE 3613: Computer Organization Chapter 5: The Processor: Datapath & Control - 1

Spring 2017 EE 3613: Computer Organization Chapter 5: The Processor: Datapath & Control - 1 Spring 27 EE 363: Computer Organization Chapter 5: The Processor: atapath & Control - Avinash Kodi epartment of Electrical Engineering & Computer Science Ohio University, Athens, Ohio 457 E-mail: kodi@ohio.edu

More information

Low Voltage Clocking Methodologies for Nanoscale ICs. A Dissertation Presented. Weicheng Liu. The Graduate School. in Partial Fulfillment of the

Low Voltage Clocking Methodologies for Nanoscale ICs. A Dissertation Presented. Weicheng Liu. The Graduate School. in Partial Fulfillment of the Low Voltage Clocking Methodologies for Nanoscale ICs A Dissertation Presented by Weicheng Liu to The Graduate School in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy in

More information

6.S084 Tutorial Problems L05 Sequential Circuits

6.S084 Tutorial Problems L05 Sequential Circuits Preamble: Sequential Logic Timing 6.S084 Tutorial Problems L05 Sequential Circuits In Lecture 5 we saw that for D flip-flops to work correctly, the flip-flop s input should be stable around the rising

More information

Characterizing the Voltage Scaling Limitations of Razor-based Designs

Characterizing the Voltage Scaling Limitations of Razor-based Designs Characterizing the Voltage Scaling Limitations of Razor-based Designs John Sartori and Rakesh Kumar Coordinated Science Laboratory 1308 West Main St Urbana, IL 61801 Abstract Worst-case processor designs

More information

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security Grace Li Zhang, Bing Li, Ulf Schlichtmann Chair of Electronic Design Automation Technical University of Munich (TUM)

More information

Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel

Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel IEEE TRANSACTIONS ON MAGNETICS, VOL. 46, NO. 1, JANUARY 2010 87 Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel Ningde Xie 1, Tong Zhang 1, and

More information

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 9, September 2013,

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

Designing for High Speed-Performance in CPLDs and FPGAs

Designing for High Speed-Performance in CPLDs and FPGAs Designing for High Speed-Performance in CPLDs and FPGAs Zeljko Zilic, Guy Lemieux, Kelvin Loveless, Stephen Brown, and Zvonko Vranesic Department of Electrical and Computer Engineering University of Toronto,

More information

Design Project: Designing a Viterbi Decoder (PART I)

Design Project: Designing a Viterbi Decoder (PART I) Digital Integrated Circuits A Design Perspective 2/e Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolić Chapters 6 and 11 Design Project: Designing a Viterbi Decoder (PART I) 1. Designing a Viterbi

More information

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview DATASHEET DC Ultra Concurrent Timing, Area, Power and Test Optimization DC Ultra RTL synthesis solution enables users to meet today s design challenges with concurrent optimization of timing, area, power

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented. Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks A Thesis presented by Mallika Rathore to The Graduate School in Partial Fulfillment of the Requirements

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

EDSU: Error detection and sampling unified flip-flop with ultra-low overhead

EDSU: Error detection and sampling unified flip-flop with ultra-low overhead LETTER IEICE Electronics Express, Vol.13, No.16, 1 11 EDSU: Error detection and sampling unified flip-flop with ultra-low overhead Ziyi Hao 1, Xiaoyan Xiang 2, Chen Chen 2a), Jianyi Meng 2, Yong Ding 1,

More information

EE-382M VLSI II FLIP-FLOPS

EE-382M VLSI II FLIP-FLOPS EE-382M VLSI II FLIP-FLOPS Gian Gerosa, Intel Fall 2008 EE 382M Class Notes Page # 1 / 31 OUTLINE Trends LATCH Operation FLOP Timing Diagrams & Characterization Transfer-Gate Master-Slave FLIP-FLOP Merged

More information

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint.

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint. Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint Yannick Bonhomme, Patrick Girard, L. Guiller, Christian Landrault, Serge Pravossoudovitch To cite this version:

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor

SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor LETTER IEICE Electronics Express, Vol.14, No.8, 1 12 SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor Taotao Zhu 1, Xiaoyan Xiang 2a), Chen Chen 2, and

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Low Power Digital Design using Asynchronous Logic

Low Power Digital Design using Asynchronous Logic San Jose State University SJSU ScholarWorks Master's Theses Master's Theses and Graduate Research Spring 2011 Low Power Digital Design using Asynchronous Logic Sathish Vimalraj Antony Jayasekar San Jose

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Robust Synchronization using the Wagging Technique

Robust Synchronization using the Wagging Technique School of Electrical, Electronic & Computer Engineering Robust Synchronization using the Wagging Technique Mohammed Alshaikh, David Kinniment, and Alex Yakovlev Technical Report Series NCL-EECE-MSD-TR-2010-165

More information

Testing of Cryptographic Hardware

Testing of Cryptographic Hardware Testing of Cryptographic Hardware Presented by: Debdeep Mukhopadhyay Dept of Computer Science and Engineering, Indian Institute of Technology Madras Motivation Behind the Work VLSI of Cryptosystems have

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL B.Sanjay 1 SK.M.Javid 2 K.V.VenkateswaraRao 3 Asst.Professor B.E Student B.E Student SRKR Engg. College SRKR Engg. College SRKR

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Administrative issues. Sequential logic

Administrative issues. Sequential logic Administrative issues Midterm #1 will be given Tuesday, October 29, at 9:30am. The entire class period (75 minutes) will be used. Open book, open notes. DDPP sections: 2.1 2.6, 2.10 2.13, 3.1 3.4, 3.7,

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Design and Evaluation of a Low-Power UART-Protocol Deserializer

Design and Evaluation of a Low-Power UART-Protocol Deserializer 1 Design and Evaluation of a Low-Power UART-Protocol Deserializer Casey T. Morrison, William Goh, Saeed Sadrameli, and Eric Blattler Abstract The and evaluation of a low-power Universal Asynchronous Receiver/Transmitter

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Interframe Bus Encoding Technique for Low Power Video Compression

Interframe Bus Encoding Technique for Low Power Video Compression Interframe Bus Encoding Technique for Low Power Video Compression Asral Bahari, Tughrul Arslan and Ahmet T. Erdogan School of Engineering and Electronics, University of Edinburgh United Kingdom Email:

More information

Load-Sensitive Flip-Flop Characterization

Load-Sensitive Flip-Flop Characterization Appears in IEEE Workshop on VLSI, Orlando, Florida, April Load-Sensitive Flip-Flop Characterization Seongmoo Heo and Krste Asanović Massachusetts Institute of Technology Laboratory for Computer Science

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Abstract- A new technique of clock is presented to reduce dynamic power consumption.

More information

A Novel Approach for Auto Clock Gating of Flip-Flops

A Novel Approach for Auto Clock Gating of Flip-Flops A Novel Approach for Auto Clock Gating of Flip-Flops Kakarla Sandhya Rani 1, Krishna Prasad Satamraju 2 1 P.G Scholar, Department of ECE, Vasireddy Venkatadri Institute of Technology, Nambur, Guntur (dt),

More information

Latch-Based Performance Optimization for FPGAs. Xiao Teng

Latch-Based Performance Optimization for FPGAs. Xiao Teng Latch-Based Performance Optimization for FPGAs by Xiao Teng A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of ECE University of Toronto

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization Clock - key to synchronous systems Topic 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization Clock - key to synchronous systems Lecture 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units

VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units Grace Li Zhang 1, Bing Li 1, Masanori Hashimoto 2 and Ulf Schlichtmann 1 1 Chair

More information

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, 2012 Fig. 1. VGA Controller Components 1 VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

VARIABLE FREQUENCY CLOCKING HARDWARE

VARIABLE FREQUENCY CLOCKING HARDWARE VARIABLE FREQUENCY CLOCKING HARDWARE Variable-Frequency Clocking Hardware Many complex digital systems have components clocked at different frequencies Reason 1: to reduce power dissipation The active

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Dynamic Power Reduction in Sequential Circuits Using Look Ahead Clock Gating Technique R. Manjith, C. Muthukumari

Dynamic Power Reduction in Sequential Circuits Using Look Ahead Clock Gating Technique R. Manjith, C. Muthukumari Dynamic Power Reduction in Sequential Circuits Using Look Ahead Clock Gating Technique R. Manjith, C. Muthukumari Abstract In this paper, a novel Linear Feedback Shift Register (LFSR) with Look Ahead Clock

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information