Sharif University of Technology. SoC: Introduction

Size: px
Start display at page:

Download "Sharif University of Technology. SoC: Introduction"

Transcription

1 SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering

2 System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting components, which has inputs and outputs, and exhibits specific behavior. Behavior: a function that translates inputs into outputs System: an entity consisting of hardware and software Hardware: high speed, low power consumption, less price (probably) Software: flexibility, ease of modification and upgrade Hardware system: a system whose physical components are electronic blocks Analog Digital Mixed signal Slide 2of 32

3 Digital vs. Analog Systems The critical advantage of digital systems is their ability to deal with electrical signals that have been degraded. Due to the discrete nature of the outputs, a slight variation in an input is still interpreted correctly. In analog circuits, a slight error at the input generates an error at tth the output. t The simplest form of a digital system is binary. A binary signal is modeled d as taking on only two discrete values (0 or 1, LOW or HIGH, False or True). Slide 3of 32

4 Advantages of Digital Systems 1. High noise immunity 2. Adjustable precision 3. Less sensitivity to variations in components and environmental parameters (especially temperature) 4. Ease of design ( automation) and fabrication, and therefore, low cost 5. Better reliability 6. Less need to calibration and maintenance 7. Ease of diagnosis and repair 8. Easy to duplicate similar circuits 9. Easily controllable by computer Slide 4of 32

5 Disadvantages of Digital Systems 1. Lower speed 2. Need analog to digital (A/D) and digital to analog (D/A) converters to communicate with real world; therefore, more expensive or less precise Slide 5of 32

6 Contemporary Digital Design Major changes in digital design in recent years: Scale Time Cost More complex designs New methodologies and techniques required, like SoC Shorter time-to-market (TTM) Cheaper products Pervasive use of computer-aided design tools over hand methods Multiple l levels l of design representation ti Emphasis on abstract design representations Programmable rather than fixed function components Automatic synthesis techniques Importance of sound design methodologies higher levels of integration use of simulation to debug designs Slide 6of 32

7 Software Tools Digital design need not involve any software tools; however, Software tools are nowadays an essential part of digital design. HDLs (Hardware Description Languages) and the corresponding simulation and synthesis tools are widely used. In a CAD (Computer-Aided Design) environment, the tools improve the productivity and help in correcting errors and predicting behavior. Schematic entry; HDLs compilers, simulators and synthesis tools; Timing analysers; Simulators Test benches. Slide 7of 32

8 Integrated Circuits (ICs) An IC is a collection of gates/blocks/... fabricated on a single silicon chip. ICs are classified by their size: SSI (small scale integration): 1 to 30 gates - a small number of gates. MSI (medium scale integration): 30 to 300 gates - decoder, register, counter. LSI (large scale integration): 300 to 300, gates - small memories, PLDs. VLSI (very large scale integration): > 1,000,000 transistors - microprocessors, memories. The Core 2 Extreme QX9650 Quad Core Processor (Intel 2008, 45 nm technology) has 820 million transistors (420 M transistors per die) Slide 8of 32

9 Implementation Technologies Slide 9of 32

10 Modern Systems Basic elements: Microprocessors, buses and ASICs. Basic problems: HW/SW partitioning. HW/SW co-simulation (including communication modeling). Different design trade-offs. Separate HW and SW design flows. Slide 10 of 32

11 What is an SoC? SoC Concept in the past simply implied higher levels of integration (Moore s law): A single chip replaces the whole multichip system-on- board Different chips on PCB ( (Printed Circuit Board) ) are now building blocks ( (cores) of SoC chip Advantages: On-chip interconnects are many times faster than off-chip wires Get a compact system with the same functionality Reduces pin overhead Saves much power Reduces noise in the mixed-signal/analog circuits Lower overall cost Slide 11 of 32

12 What is an SoC? (cont d) Today s concept: gaining overall productivity gains through reusable design and integration of components Complex IC that integrates the major functional elements of a complete end-product into a single chip using intellectual property (IP) blocks. IPs: pre-designed and pre-verified Also called: virtual components Slide 12 of 32

13 Design Productivity Gap Procee edings of the IEEE, June SoC/IP approach improves the situation Platform-Based Design improves it further Slide 13 of 32

14 An SoC usually contains: Inside an SoC Reusable IP Requires connecting computational units to communication medium Embedded processor, memory Real-world interface (wireless receiver/transmitter, ) Sensor Mixed-signal blocks Programmable hardware RTOS and embedded software, device drivers Has more than 500 K gates, Uses.25 μm technology or below Is not an ASIC Primary difference from ASIC: in SOC design, the goal is to maximize reuse of existing blocks or cores Slide 14 of 32

15 Why SoC? Increased functionality/performance in reduced footprint Tighter design schedule Bandwidth and performance Simplified PCB design Increased product mechanical robustness Lower power consumption Technology scaling Lower system cost Slide 15 of 32

16 System-in in-a-package (SIP) SoC technology a great success, EXCEPT for radio receiver/transmitters Can sustain mixed analog/digital hardware together on one chip, provided that: Analog hardware is in the low-frequency band Digital clocks & their harmonics are carefully chosen to avoid polluting key parts of the spectrum with noise Key result: Still unable to integrate radio frequency (RF) hardware into SoC Substrate coupling between digital and analog parts causes digital clock noise to destroy the signal-to-noise ratio of RF part RF tuners still require precision inductors, but on-chip inductors are expensive and inadequate Slide 16 of 32

17 System-in in-a-package (SIP) Interim solution: Combine separate digital & analog chips and passive components into a single package (SIP, or MCM= Multi-Chip Module) Common 2-D or 3-D substrate May contain SoC as one of the chips Proceedings of the IEEE, June 2006 Slide 17 of 32

18 Increasing complexity Time-to to-market pressure Verification bottleneck Integration Hardware v.s.. software SoC Challenges Digital circuits v.s. analog circuits Testing issues Deep submicron effects Timing i closure problem Signal integrity problem Reliability problem Slide 18 of 32

19 Time-to-Market Pressure Pressure from shorter product lifespan An additional key factor in TTM, specific for SoC: System integration = integrating g different silicon IPs on the same IC Slide 19 of 32

20 Time-to-Market Pressure (cont d) Profit model showing the value of TTM: Slide 20 of 32

21 Verification Bottleneck Verification becomes the major bottleneck of the modern design flows Slide 21 of 32

22 Increasing complexity Time-to to-market pressure Verification bottleneck Integration Hardware v.s.. software SoC Challenges Digital circuits v.s. analog circuits Testing issues Deep submicron effects Timing i closure problem Signal integrity problem Reliability problem Slide 22 of 32

23 HW/SW Integration Integrating HW/SW at the final step may require high cost. Early integration (HW/SW codesign) Trend toward increasing design complexity due to integration Slide 23 of 32

24 Challenges for Mixed Signal Designs Design challenges Chip-level l simulation takes too much time Design budgets are not distributed in a well-defined manner Too much time is spent on low-level level iterations Design is not completely systematic There is limited or no use of HDL Slide 24 of 32

25 SoC Testing Challenges Distributed design and test Core provider does not know the target t environment System integrator is responsible for manufacturing testing Test access Bed-of-nails (decomposition) system testing is not possible Most of the cores are surrounded by many other cores Results in very poor controllability and observability bilit Need electronic test hardware to access these blocks during testing Bandwidth, I/O pin count limitations Test optimization Minimizing test cost while satisfying constraints such as power, resources, coverage, etc. Slide 25 of 32

26 Increasing complexity Time-to to-market pressure Verification bottleneck Integration Hardware v.s.. software SoC Challenges Digital circuits v.s. analog circuits Testing issues Deep submicron effects Timing i closure problem Signal integrity problem Reliability problem Slide 26 of 32

27 Timing Closure Problem Traditional silicon design flows: used statistical wire-load models to estimate metal interconnects for pre-layout timing analysis load on a specific node: estimated by the sum of the input capacitances of the gates being driven statistical wire estimate based on the size of the block and the number of gates being driven Correct for 250 nm and above, because the gate propagation delays and gate load capacitances dominate Wire delay starts to dominate total delay in DSM process Lack of physical information about wire length Only statistical wire delay model can be used at design phase Inaccurate because they represent a statistical value based on the block size Incorrect estimations require long iterations to meeting timing Slide 27 of 32

28 Signal Integrity, Reliability Feature size sub-wavelength lithography (impacts of process variation), noise, cross-talk, SEU, reliability Frequency, dimension interconnect delay, electromagnetic ti field effects, timing i closure Supply voltage signal integrity (noise, IR drop, etc) Wiring level manufacturability Power consumption power & thermal issues Slide 28 of 32

29 General Architecture of Core-Based SoC Slide 29 of 32

30 1. Front-end design Design Flow Traditional Design Flow: Begins with system definition in behavioral or algorithmic form and ends with floor planning 2. Back-end design Begins with placement/routing through layout release (tape-out) Engineers in either phase don t know much about the other phase Slide 30 of 32

31 Design Flow (cont d) Vertical Integrated Design Environment: Engineers have full responsibility for a block from system design specifications to physical design prior to chip- level integration Necessary for functional verification of complex blocks with post- layout timing Avoids last minute surprises related to block aspect ratio, timing, routing, or architectural and area/performance trade-offs Must be familiar with several CAD tools in a complex EDA environment Slide 31 of 32

32 Task responsibilities of an engineer in a vertical design environment nm nt Slide 32 of 32

VLSI Design Digital Systems and VLSI

VLSI Design Digital Systems and VLSI VLSI Design Digital Systems and VLSI Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author 1 Overview Why VLSI? IC Manufacturing CMOS Technology

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

System Quality Indicators

System Quality Indicators Chapter 2 System Quality Indicators The integration of systems on a chip, has led to a revolution in the electronic industry. Large, complex system functions can be integrated in a single IC, paving the

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor 14 12 10 8 6 IBM ES9000 Bipolar Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP)

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Introduction to Data Conversion and Processing

Introduction to Data Conversion and Processing Introduction to Data Conversion and Processing The proliferation of digital computing and signal processing in electronic systems is often described as "the world is becoming more digital every day." Compared

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. T.Vijay Kumar, M.Tech Associate Professor, Dr.K.V.Subba Reddy Institute of Technology.

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Integrated circuits/5 ASIC circuits

Integrated circuits/5 ASIC circuits Integrated circuits/5 ASIC circuits Microelectronics and Technology Márta Rencz Department of Electron Devices 2002 1 Subjects Classification of Integrated Circuits ASIC cathegories 2 Classification of

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

Introduction to The Design of Mixed-Signal Systems on Chip 1

Introduction to The Design of Mixed-Signal Systems on Chip 1 Introduction to The Design of Mixed-Signal Systems on Chip 1 Ken Kundert Cadence Design Systems Design of Mixed-Signal Systems on Chip 35 th Design Automation Conference, 1998 Henry Chang Felicia James

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn:

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn: IC Layout Design of Decoder Using Electrical VLSI System Design 1.UPENDRA CHARY CHOKKELLA Assistant Professor Electronics & Communication Department, Guru Nanak Institute Of Technology-Ibrahimpatnam (TS)-India

More information

Avoiding False Pass or False Fail

Avoiding False Pass or False Fail Avoiding False Pass or False Fail By Michael Smith, Teradyne, October 2012 There is an expectation from consumers that today s electronic products will just work and that electronic manufacturers have

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course Session Number 1532 Adding Analog and Mixed Signal Concerns to a Digital VLSI Course John A. Nestor and David A. Rich Department of Electrical and Computer Engineering Lafayette College Abstract This paper

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University Outline State of the Art for

More information

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World The World Leader in High Performance Signal Processing Solutions Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World Dave Robertson-- VP of Analog Technology

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM A M S D E S I G N & V E R I F I C A T I O N W H I T E P A P

More information

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction 1 Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 2 Course Overview Lecturer Teaching Assistant Course Team E-mail:

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

Cascadable 4-Bit Comparator

Cascadable 4-Bit Comparator EE 415 Project Report for Cascadable 4-Bit Comparator By William Dixon Mailbox 509 June 1, 2010 INTRODUCTION... 3 THE CASCADABLE 4-BIT COMPARATOR... 4 CONCEPT OF OPERATION... 4 LIMITATIONS... 5 POSSIBILITIES

More information

Verification Methodology for a Complex System-on-a-Chip

Verification Methodology for a Complex System-on-a-Chip UDC 621.3.049.771.14.001.63 Verification Methodology for a Complex System-on-a-Chip VAkihiro Higashi VKazuhide Tamaki VTakayuki Sasaki (Manuscript received December 1, 1999) Semiconductor technology has

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

WELCOME. ECE 2030: Introduction to Computer Engineering* Richard M. Dansereau Copyright by R.M. Dansereau,

WELCOME. ECE 2030: Introduction to Computer Engineering* Richard M. Dansereau Copyright by R.M. Dansereau, CHAPTER I- CHAPTER I WELCOME TO ECE 23: Introduction to Computer Engineering* Richard M. Dansereau rdanse@pobox.com Copyright by R.M. Dansereau, 2-2 * ELEMENTS OF NOTES AFTER W. KINSNER, UNIVERSITY OF

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 5 Sequential circuits design - Timing issues ELEN0040 5-228 1 Sequential circuits design 1.1 General procedure 1.2

More information

A. Chatterjee, Georgia Tech

A. Chatterjee, Georgia Tech VALIDATION, TESTING AND TUNING OF MIXED-SIGNAL/RF CIRCUITS AND SYSTEMS: A MACHINE LEARNING ASSISTED APPROACH A. Chatterjee, Georgia Tech GRAs: S. Deyati, B. Muldrey, S.Akbay, V. Natarajan, R. Senguttuvan,

More information

Troubleshooting EMI in Embedded Designs White Paper

Troubleshooting EMI in Embedded Designs White Paper Troubleshooting EMI in Embedded Designs White Paper Abstract Today, engineers need reliable information fast, and to ensure compliance with regulations for electromagnetic compatibility in the most economical

More information

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview DATASHEET DC Ultra Concurrent Timing, Area, Power and Test Optimization DC Ultra RTL synthesis solution enables users to meet today s design challenges with concurrent optimization of timing, area, power

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

An Efficient IC Layout Design of Decoders and Its Applications

An Efficient IC Layout Design of Decoders and Its Applications An Efficient IC Layout Design of Decoders and Its Applications Dr.Arvind Kundu HOD, SCIENT Institute of Technology. T.Uday Bhaskar, M.Tech Assistant Professor, SCIENT Institute of Technology. B.Suresh

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

IC Mask Design. Christopher Saint Judy Saint

IC Mask Design. Christopher Saint Judy Saint IC Mask Design Essential Layout Techniques Christopher Saint Judy Saint McGraw-Hill New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

FPGA Development for Radar, Radio-Astronomy and Communications

FPGA Development for Radar, Radio-Astronomy and Communications John-Philip Taylor Room 7.03, Department of Electrical Engineering, Menzies Building, University of Cape Town Cape Town, South Africa 7701 Tel: +27 82 354 6741 email: tyljoh010@myuct.ac.za Internet: http://www.uct.ac.za

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITL TECHNICS Dr. álint Pődör Óbuda University, Microelectronics and Technology Institute 10. LECTURE (LOGIC CIRCUITS, PRT 2): MOS DIGITL CIRCUITS II 2016/2017 10. LECTURE: MOS DIGITL CIRCUITS II 1.

More information

Co-simulation Techniques for Mixed Signal Circuits

Co-simulation Techniques for Mixed Signal Circuits Co-simulation Techniques for Mixed Signal Circuits Tudor Timisescu Technische Universität München Abstract As designs grow more and more complex, there is increasing effort spent on verification. Most

More information

Digital Systems Design

Digital Systems Design ECOM 4311 Digital Systems Design Eng. Monther Abusultan Computer Engineering Dept. Islamic University of Gaza Page 1 ECOM4311 Digital Systems Design Module #2 Agenda 1. History of Digital Design Approach

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Syed Muhammad Yasser Sherazi CURRICULUM VITAE

Syed Muhammad Yasser Sherazi CURRICULUM VITAE Syed Muhammad Yasser Sherazi Date of Birth: 16th July 1982 Adress: Rydvagen 104A, 58431 Linköping, Sweden Cell: 0046762323697 E-post: smy_sherazi@yahoo.com Objective CURRICULUM VITAE To obtain a position

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 233 A Portable Digitally Controlled Oscillator Using Novel Varactors Pao-Lung Chen, Ching-Che Chung, and Chen-Yi Lee

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

EMI/EMC diagnostic and debugging

EMI/EMC diagnostic and debugging EMI/EMC diagnostic and debugging 1 Introduction to EMI The impact of Electromagnetism Even on a simple PCB circuit, Magnetic & Electric Field are generated as long as current passes through the conducting

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

9 Programmable Logic Devices

9 Programmable Logic Devices Introduction to Programmable Logic Devices A programmable logic device is an IC that is user configurable and is capable of implementing logic functions. It is an LSI chip that contains a 'regular' structure

More information

A New Methodology for Analog/Mixed-Signal (AMS) SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance

A New Methodology for Analog/Mixed-Signal (AMS) SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance A New Methodology for Analog/Mixed-Signal (AMS) SoC that Enables AMS Reuse and Achieves Full-Custom Performance Kazuhiro ODA 1, Louis A. Prado 2, and Anthony J. Gadient 2 1 Toshiba Corp. 580-1, Horikawa-cho,

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Why Use the Cypress PSoC?

Why Use the Cypress PSoC? C H A P T E R1 Why Use the Cypress PSoC? Electronics have dramatically altered the world as we know it. One has simply to compare the conveniences and capabilities of today s world with those of the late

More information

Saving time & money with JTAG

Saving time & money with JTAG Saving time & money with JTAG AltiumLive 2017: ANNUAL PCB DESIGN SUMMIT Simon Payne CEO, XJTAG Ltd. Saving time and money with JTAG JTAG / IEEE 1149.X Take-away points Get JTAG right from the start Use

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

CS Part 1 1 Dr. Rajesh Subramanyan, 2005

CS Part 1 1 Dr. Rajesh Subramanyan, 2005 CS25 -- Part Dr. Rajesh Subramanyan, 25 Basics Chapter 2 Digital Logic CS25 -- Part 2 Dr. Rajesh Subramanyan, 25 Topics Voltage And Current Transistor Logic Gates Symbols Used For Gates Interconnection

More information

High Performance TFT LCD Driver ICs for Large-Size Displays

High Performance TFT LCD Driver ICs for Large-Size Displays Name: Eugenie Ip Title: Technical Marketing Engineer Company: Solomon Systech Limited www.solomon-systech.com The TFT LCD market has rapidly evolved in the last decade, enabling the occurrence of large

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation Manfred Ley, Oleksandr Melnychenko Abstract A low-power decimation filter for very high-speed over-sampling analog to digital

More information

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009. 55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009 Introduction In this project we will create a transistor-level model of

More information

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process (Lec 11) From Logic To Layout What you know... Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process High-level design description

More information

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array American Journal of Applied Sciences 10 (5): 466-477, 2013 ISSN: 1546-9239 2013 M.I. Ibrahimy et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.466.477

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Methodology. Nitin Chawla,Harvinder Singh & Pascal Urard. STMicroelectronics

Methodology. Nitin Chawla,Harvinder Singh & Pascal Urard. STMicroelectronics An Algorithm to Silicon ESL Design Methodology Nitin Chawla,Harvinder Singh & Pascal Urard STMicroelectronics SOC Design Challenges:Increased Complexity 992 994 996 998 2 22 24 26 28 2.7.5.35.25.8.3 9

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

Optimizing BNC PCB Footprint Designs for Digital Video Equipment Optimizing BNC PCB Footprint Designs for Digital Video Equipment By Tsun-kit Chin Applications Engineer, Member of Technical Staff National Semiconductor Corp. Introduction An increasing number of video

More information

Chapter 1. Introduction to Digital Signal Processing

Chapter 1. Introduction to Digital Signal Processing Chapter 1 Introduction to Digital Signal Processing 1. Introduction Signal processing is a discipline concerned with the acquisition, representation, manipulation, and transformation of signals required

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Designing for High Speed-Performance in CPLDs and FPGAs

Designing for High Speed-Performance in CPLDs and FPGAs Designing for High Speed-Performance in CPLDs and FPGAs Zeljko Zilic, Guy Lemieux, Kelvin Loveless, Stephen Brown, and Zvonko Vranesic Department of Electrical and Computer Engineering University of Toronto,

More information

Bell. Program of Study. Accelerated Digital Electronics. Dave Bell TJHSST

Bell. Program of Study. Accelerated Digital Electronics. Dave Bell TJHSST Program of Study Accelerated Digital Electronics TJHSST Dave Bell Course Selection Guide Description: Students learn the basics of digital electronics technology as they engineer a complex electronic system.

More information

7 DESIGN ASPECTS OF IoT PCB DESIGNS JOHN MCMILLAN, MENTOR GRAPHICS

7 DESIGN ASPECTS OF IoT PCB DESIGNS JOHN MCMILLAN, MENTOR GRAPHICS 7 DESIGN ASPECTS OF IoT PCB DESIGNS JOHN MCMILLAN, MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION: IoT EVERYWHERE Designing electronic products with IoT capabilities

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information