Registers, Register Transfers and Counters Dr. Fethullah Karabiber

Size: px
Start display at page:

Download "Registers, Register Transfers and Counters Dr. Fethullah Karabiber"

Transcription

1 36 OMPUTER HARWARE Registers, Register Transfers and ounters r. Fethullah Karabiber

2 Overview 2 Registers, Microoperations and Implementations Registers and load enable Register transfer operations Microoperations - arithmetic, logic, and shift Microoperations on a single register n Multiplexer-based transfers n Shift registers Register ells, Buses, & Serial Operations ontrol of Register Transfers ounters

3 Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state table More often, think of a register as storing a vector of binary values Frequently used to perform simple data storage and data movement and processing operations

4 Example: 2-bit Register How many states are there? How many input combinations? Output combinations? What is the output function? What is the next state function? Moore or Mealy? State Table: urrent State In In P A Q A Q Next State A(t+ ) A(t+ ) For In In = Output (=A A) A A What are the quantities above for an n-bit register? Y Y Y Y

5 Simple Register 5 Serial input SI Serial output SO lock (a) Logic diagram lock SRG 4 Sl SO (b) Symbol

6 Register esign Models ue to the large numbers of states and input combinations as n becomes large, the state diagram/ state table model is not feasible! What are methods we can use to design registers? Add predefined combinational circuits to registers n Example: To count up, connect the register flip-flops to an incrementer esign individual cells using the state diagram/state table model and combine them into a register n A -bit cell has just two states n Output is usually the state variable

7 Register Storage Expectations: A register can store information for multiple clock cycles To store or load information should be controlled by a signal Reality: A flip-flop register loads information on every clock cycle Realizing expectations: Use a signal to block the clock to the register, Use a signal to control feedback of the output of the register back to its inputs, or Use other SR or JK flip-flops, that for (,) applied, store their state Load is a frequent name for the signal that controls register storage and loading Load = : Load the values on the data inputs Load = : Store the values in the register

8 Registers with lock Gating The Load signal enables the clock signal to pass through if and prevents the clock signal from passing through if. Example: For Positive Edge-Triggered or Negative Pulse Master-Slave Flip-flop: lock Load Gated lock to FF What logic is needed for gating? Gated lock = lock + Load What is the problem? lock Skew of gated clocks with respect to clock or each other

9 Registers with lock Gating 9 Q REG lock lear lear R Q Q Q 2 Q 2 3 Q 3 R (b) Symbol 2 Q 2 Load lock (c) Load control input inputs (clock inputs of flip-flops) R 3 Q 3 lock R (a) Logic diagram Load inputs FUNAMENTALS, 4e (d) Timing diagram

10 Registers with Load-ontrolled Feedback A more reliable way to selectively load a register: Run the clock continuously, and Selectively use a load control to change the register contents. Example: 2-bit register with Load ontrol: For Load =, loads register contents (hold current values) For Load =, loads input values (load new values) Load In Hardware more complex than clock gating, but free of timing problems In lock 2-to- Multiplexers A Q Q A Y Y

11 Registers with Load-ontrolled Feedback EN Q EN Flip-flop with enable Q EN Q (a) 2 EN Q 2 EN 3 Load lock EN Q 3 (b) (c) 4e

12 Registers 2 Function Table Shift Load Serial input Shift Load Operation Q X No change Load parallel data Shift down from Q to Q 3 Q SHR 4 Shift Load Sl 2 3 Q Q Q 2 Q 3 (b) Symbol 2 3 Q 2 Q 3 lock

13 Registers 3 Function Table Mode control S S Register Operation Q i No change Shift down Shift up Parallel load S S MUX S S lock Mode S Mode S Left serial input S S LSI SHR 4 Q i 2 3 Q i Q 2 Q 2 Q i Right serial input 3 Q 3 RSI (b) Symbol lock (a) Logic diagram of one typical stage

14 Register Transfer Operations Register Transfer Operations The movement and processing of data stored in registers Three basic components: set of registers operations control of operations Elementary Operations -- load, count, shift, add, bitwise "OR", etc. Elementary operations called microoperations

15 Register Transfer Operations 5 The system is partitioned into 2 types of modules: atapath: performs data processing operations. ontrol unit: determines the sequence of those operations. atapaths are defined by their registers and the operations performed on binary data stored in the registers ontrol signals ontrol inputs ontrol unit Status signals atapath ata outputs ontrol outputs ata inputs

16 Register Notation R (a) Register R 5 (b) Individual bits of 8-bit register R2 P (H) P (L) (c) Numbering of 6-bit register (d) Two-part 6-bit register Basic Symbols for Register Transfers Symbol escription Examples Letters enotes a register AR, R2, R, IR (and numerals) Parentheses enotes a part of a register R2(), R2(7:), AR(L) Arrow enotes transfer of data R R2 omma Separates simultaneous transfers R R2, R2 R Square brackets Specifies an address for memory R M[ AR]

17 onditional Transfer If (K =) then (R2 R) is shortened to K: (R2 R) where K is a control variable specifying a conditional execution of the microoperation. K lock R n Load R2 Transfer occurs here t t lock K

18 Microoperations Logical Groupings: Transfer - move data from one register to another Arithmetic - perform arithmetic on data in registers Logic - manipulate data or use bitwise logical operations Shift - shift data in registers Arithmetic operations + Addition Subtraction * Multiplication / ivision Logical operations Logical OR Logical AN Logical Exclusive OR Not

19 Register Trasfers 9 TABLE 7-2 Textbook RTL, VHL, and Verilog Symbols for Register Transfers Operation Text RTL VHL Verilog ombinational assignment = <= (concurrent) assign = (nonblocking) Register transfer <= (concurrent) <= (nonblocking) Addition Subtraction Bitwise AN ^ and & Bitwise OR or Bitwise XOR xor ^ Bitwise NOT (overline) not ~ Shift left (logical) sl sll << Shift right (logical) sr srl >> Vectors/registers A(3:) A(3 down to ) A[3:] oncatenation & {, }

20 Example Microoperations Add the content of R to the content of R2 and place the result in R. R R + R2 Multiply the content of R by the content of R6 and place the result in P. P R * R6 Exclusive OR the content of R with the content of R2 and place the result in R. R R R2

21 Example Microoperations (ontinued) Take the 's omplement of the contents of R2 and place it in the P. P R2 On condition K OR K2, the content of R is Logic bitwise Ored with the content of R3 and the result placed in R. (K + K2): R R R3 NOTE: "+" (as in K + K 2 ) and means OR. In R R + R3, + means plus.

22 ontrol Expressions The control expression for an operation appears to the left of the operation and is separated from it by a colon ontrol expressions specify the logical condition for the operation to occur ontrol expression values of: Logic "" -- the operation occurs. Logic "" -- the operation is does not occur. Example: X K : R R + R2 X K : R R + R2 + Variable K enables the add or subtract operation. If X =, then X = so X K =, activating the addition of R and R2. If X =, then X K =, activating the addition of R and the two's complement of R2 (subtract).

23 Arithmetic Microoperations Arithmetic Microoperations Symbolic designation escription R R + R2 R2 R2 R2 R2 + R R + R2 + R R + R R ontents of R plus R2 transferred to R omplement of the contents of R2 ( s complement) 2 s complement of the contents of R2 R plus 2 s complement of R2 transferred to R (subtraction) Increment the contents of R (count up) ecrement the contents of R (count down) Note that any register may be specified for source, source 2, or destination. These simple microoperations operate on the whole word

24 Adder/ Subtracter Unit 24 X K : Rß R+R2 XK : Rß R+R2 + Overflow output

25 Logical Microoperations Logic Microoperations Symbolic designation R R R R R2 R R R2 R R R2 escription Logical bitwise NOT ( s complement) Logical bitwise AN (clears bits) Logical bitwise OR (sets bits) Logical bitwise XOR (complements bits) Let R =, and R2 = Then after the operation, R becomes: R Operation R! R R! R " R2 R! R # R2 R! R $ R2

26 Shift Microoperations Examples of Shifts Eight-bit examples Type Symbolic designation Source R2 After shift: estination R shift left R sl R2 shift right R sr R2 Note: These shifts "zero fill". Sometimes a separate flipflop is used to provide the data shifted in, or to catch the data shifted out. Other shifts are possible (rotates, arithmetic).

27 Register ell esign Assume that a register consists of identical cells Then register design can be approached as follows: esign representative cell for the register onnect copies of the cell together to form the register Applying appropriate boundary conditions to cells that need to be different and contract if appropriate Register cell design is the first step of the above process

28 Register ell Specifications A register ata inputs to the register ontrol input combinations to the register Example : Not encoded n ontrol inputs: Load, Shift, Add n At most, one of Load, Shift, Add is for any clock cycle (,,), (,,), (,,), (,,) Example 2: Encoded n ontrol inputs: S, S n All possible binary combinations on S, S (,), (,), (,), (,)

29 Register ell Specifications A set of register functions (typically specified as register transfers) Example: Load: A B Shift: A sr B Add: A A + B A hold state specification Example: n ontrol inputs: Load, Shift, Add n If all control inputs are, hold the current register state

30 Example : Register ell esign Register A (m-bits) Specification: ata input: B ontrol inputs (X, Y) ontrol input combinations (,), (,) (,) Register transfers: X : A B v A Y : A B + A Hold state: (,)

31 Example : Register ell esign (continued) Load ontrol Load = X + Y Since all control combinations appear as if encoded (,), (,), (,) can use multiplexer without encoder: S = X S = Y = A i Hold A = A i B i + A i Y = 2 = A i B i v A i X = Note that the decoder part of the 3-input multiplexer can be shared between bits if desired

32 Sequential ircuit esign Approach Find a state diagram or state table Note that there are only two states with the state assignment equal to the register cell output value Use the design procedure in hapter 5 to complete the cell design For optimization: Use K-maps for up to 4 to 6 variables Otherwise, use computer-aided or manual optimization

33 Example Again State Table: Four variables give a total of 6 state table entries By using: Hold Ai v Bi Ai + Bi A i X = Y = X = B i = X = B i = n ombinations of variable names and values n on t care conditions (for X = Y = ) Y = B i = Y = B i = only 8 entries are required to represent the 6 entries

34 Example Again (continued) K-map - Use variable ordering X, Y, A i B i and assume a flip-flop i Ai X X X Bi X X Y

35 Example Again (continued) The resulting SOP equation: i = X B i + Y A i B i + A i B i + Y A i Using factoring and emorgan s law: i = X B i + A i (Y B i ) + A i (Y B i ) i = X B i + A i + (Y B i ) The gate input cost per cell = = 4 The gate input cost per cell for the previous version is: Per cell: 9 Shared decoder logic: 8 ost gain by sequential design > 5 per cell Also, no Enable on the flip-flop makes it cost less

36 Register Transfer Structures Multiplexer-Based Transfers - Multiple inputs are selected by a multiplexer dedicated to the register Bus-Based Transfers - Multiple inputs are selected by a shared multiplexer driving a bus that feeds inputs to multiple registers Three-State Bus - Multiple inputs are selected by 3-state drivers with outputs connected to a bus that feeds multiple registers Other Transfer Structures - Use multiple multiplexers, multiple buses, and combinations of all the above

37 Multiplexer-Based Transfers Multiplexers connected to register inputs produce flexible transfer structures (Note: locks are omitted for clarity) The transfers are: K: R R K2 K: R R2 K2 R2 4 K S MUX 4 Load R R 4 (a) Block diagram

38 Multiplexer Approach Uses an n-input multiplexer with a variety of transfer sources and functions K K n.... edicated logic 4 Encoder.... edicated logic k Registers or shared logic S m S k k... n... MUX 4 Load R

39 Multiplexer Approach Load enable by OR of control signals K, K, K n- - assumes no load for Use Encoder + Multiplexer (shown) or n x 2 AN-OR to select sources and/or transfer functions K K n.... edicated logic 4 Encoder.... edicated logic k Registers or shared logic S m S k k... n... MUX 4 Load R

40 Multiplexer and Bus-Based Transfers for Multiple Registers Multiplexer dedicated to each register Shared transfer paths for registers A shared transfer object is a called a bus (Plural: buses) Bus implementation using: multiplexers three-state nodes and drivers In most cases, the number of bits is the length of the receiving register

41 edicated MUX-Based Transfers Multiplexer connected to each register input produces a very flexible transfer structure => haracterize the simultaneous transfers possible with this structure. S 2-to- MUX n S 2-to- MUX n Select S S S2 n n R R Load LL L2 S 2-to- MUX n n R2 (a) edicated multiplexers

42 Multiplexer Bus A single bus driven by a multiplexer lowers cost, but limits the available transfers => haracterize the simultaneous transfers possible with this structure. haracterize the cost savings compared to dedicated multiplexers Select Load n Select S S 3-to- MUX 2 n n n n Bus R R Load LL L2 Register Transfer S S L2 L L n R R2 R R, R2 R R R, R R Impossible n R2 (b) Single bus

43 Three-State Bus The 3-input MUX can be replaced by a 3-state node (bus) and 3-state buffers. ost is further reduced, but transfers are limited haracterize the simultaneous transfers possible with this structure. haracterize the cost savings and compare Load LOA R EN Load n R EN n n n Load L2 L L Enable E2 E E R R R2 EN EN n n n Bus n n (a) Register with bidirectional input output lines and symbol EN (c) Three-state bus using registers with bidirectional lines

44 Serial Transfers and Microoperations Serial Transfers Used for narrow transfer paths Example : Telephone or cable line n Parallel-to-Serial conversion at source n Serial-to-Parallel conversion at destination Example 2: Initialization and apture of the contents of many flip-flops for test purposes n Add shift function to all flip-flops and form large shift register n Use shifting for simultaneous Initialization and apture operations Serial microoperations Example : Addition Example 2: Error-orrection for s

45 Serial Transfer 45 Shift lock Register A SRG 4 Register B SRG 4 SI SO SI SO (a) Block diagram lock Shift inputs T T 2 T 3 T 4 (b) Timing diagram Example of Serial Transfer Timing pulse Shift Register A Shift Register B Initial value After T After T 2 After T 3 After T 4

46 Serial Microoperations By using two shift registers for operands, a full adder, and a flip flop (for the carry), we can add two numbers serially, starting at the least significant bit. Serial addition is a low cost way to add large numbers of operands, since a tree of full adder cells can be made to any depth, and each new level doubles the number of operands. Other operations can be performed serially as well, such as parity generation/checking or more complex error-check codes. Shifting a binary number left is equivalent to multiplying by 2. Shifting a binary number right is equivalent to dividing by 2.

47 Serial Adder The circuit shown uses two shift registers for operands A(3:) and B(3:). A full adder, and one more flip flop (for the carry) is used to compute the sum. The result is stored in the A register and the final carry in the flip-flop Serial In Serial In Load/Right Shift Registers A3 A2 A A Parallel Load B3 B2 B B Parallel Load A B FA Sum in out Q (lock and Load/Shift ontrol not shown) With the operands and the result in shift registers, a tree of full adders can be used to add a large number of operands. Used as a common digital signal processing technique. P

48 48 Serial Adder

49 ounters ounters are sequential circuits which "count" through a specific state sequence. They can count up, count down, or count through other fixed sequences. Two distinct types are in common usage: Ripple ounters lock connected to the flip-flop clock input on the LSB bit flip-flop For all other bits, a flip-flop output is connected to the clock input, thus circuit is not truly synchronous! Output change is delayed more for each bit toward the MSB. Resurgent because of low power consumption Synchronous ounters lock is directly connected to the flip-flop clock inputs Logic is used to implement the desired state sequencing

50 Ripple ounter ha pt er 7 - Pa rt 2 5 How does it work? When there is a positive edge on the clock input of A, A complements The clock input for flipflop B is the complemented output of flip-flop A When flip A changes from to, there is a positive edge on the clock input of B causing B to complement P A B lock Reset R R 2 3 A B

51 Ripple ounter (continued) The arrows show the cause-effect relationship from the prior slide => The corresponding P sequence of states => (B,A) = (,), (,), (,), (,), (,), (,), A B 2 3 Each additional bit,,, behaves like bit B, changing half as frequently as the bit before it. For 3 bits: (,B,A) = (,,), (,,), (,,), (,,), (,,), (,,), (,,), (,,), (,,),

52 Ripple ounter (continued) These circuits are called ripple counters because each edge sensitive transition (positive in the example) causes a change in the next flip-flop s state. The changes ripple upward through the chain of flip-flops, i. e., each transition occurs after a clock-to-output delay from the stage before. To see this effect in detail look at the waveforms on the next slide. lock pulse Reset R R R R

53 Ripple ounter (continued) Starting with = B = A =, equivalent to (,B,A) = 7 base, the next clock increments the count to (,B,A) = base. In fine timing detail: The clock to output delay t PHL causes an increasing delay from clock edge for each stage transition. Thus, the count ripples from least to most significant bit. For n bits, total worst case delay is n t PHL. P A B t PHL t PHL t phl

54 Synchronous ounters ha pt er 7 - Pa rt 2 54 To eliminate the "ripple" effects, use a common clock for each flip-flop and a combinational circuit to generate the next state. For an up-counter, use an incrementer => TABLE 7-8 ounting Sequence of Binary ounter Upward ounting Sequence ownward ounting Sequence Q 3 Q 2 Q Q Q 3 Q 2 Q Q lock A3 A2 A A Incrementer S3 S2 S S 3 Q3 2 Q2 Q Q

55 Synchronous ounters (continued) Internal details => Internal Logic XOR complements each bit AN chain causes complement of a bit if all bits toward LSB from it equal ount Enable Forces all outputs of AN chain to to hold the state arry Out Added as part of incrementer onnect to ount Enable of additional 4-bit counters to form larger counters ount enable EN lock (a) Logic diagram-serial gating Q Q Q 2 Q 3 arry output O

56 Synchronous ounters (continued) Q arry chain series of AN gates through which the carry ripples Yields long path delays alled serial gating Replace AN carry chain with ANs => in parallel Reduces path delays alled parallel gating Like carry lookahead Lookahead can be used on Os and ENs to prevent long paths in large counters Symbol for Synchronous ounter TR 4 EN Q Q Q 2 Q 3 O (c) Symbol EN Q Q 2 2 Q 3 3 O (b) Logic diagram-parallel gating

57 Other ounters See text for: own ounter - counts downward instead of upward Up-own ounter - counts up or down depending on value a control input such as Up/own Parallel Load ounter - Has parallel load of values available depending on control input such as Load ivide-by-n (Modulo n) ounter ount is remainder of division by n; n may not be a power of 2 or ount is arbitrary sequence of n states specifically designed state-by-state Includes modulo which is the B counter

58 ounter with Parallel Load Add path for input data Load enabled for Load = ount Q Add logic to: disable count logic for Load = disable feedback from outputs for Load = Q enable count logic for Load = and ount = The resulting function table: 2 Q 2 Load ount Action Hold Stored Value ount Up Stored Value 3 Q 3 X Load nc. lock arry Output O

59 ounter w/ Unused States 59 n flip-flops 2n binary states Unused states: states that are not used in specifying the sequential ckt maybetreatedasdon t-careconditionsor may be assigned specific next states Selfcorrecting counter: Ensure that when a ckt enter one of its unused states, it eventually goes into one of the valid states after one or more clock pulses so it can resume normal operation. n Analyze the ckt to determine the next state from an unused state after it is designed.

60 ounter w/ Unused States 6 Example: The simplified f-f input eqs: A A B B B Two unused states: &

61 ounter w/ Unused States 6 A Reset AB B lock Reset (a)

62 esign Example: Synchronous B Use the sequential logic model to design a synchronous B counter with flip-flops Input combinations through are don t cares Present State Next State Output Q 8 Q 4 Q 2 Q 8 = Q 8 (t+) 4 = Q 4 (t+) 2 = Q 2 (t+) = Q (t+) Y TABLE 7-9 State T able and Flip-Flop Inputs for B ounter

63 Synchronous B (continued) Use K-Maps to two-level optimize the next state equations and manipulate into forms containing XOR gates: = Q 2 = Q2 + QQ8 4 = Q4 + QQ2 8 = Q8 + (QQ8 + QQ2Q4) The logic diagram can be draw from these equations An asynchronous or synchronous reset should be added What happens if the counter is perturbed by a power disturbance or other interference and it enters a state other than through?

64 Synchronous B (continued) ha pt er 7 - Pa rt 2 64 Find the actual values of the six next states for the don t care combinations from the equations Find the overall state diagram to assess behavior for the don t care states (states in decimal) Present State Next State 9 4 Q8 Q4 Q2 Q Q8 Q4 Q2 Q

65 Synchronous B (continued) For the B counter design, if an invalid state is entered, return to a valid state occurs within two clock cycles Is this adequate? If not: Is a signal needed that indicates that an invalid state has been entered? What is the equation for such a signal? oes the design need to be modified to return from an invalid state to a valid state in one clock cycle? oes the design need to be modified to return from a invalid state to a specific state (such as )? The action to be taken depends on: the application of the circuit design group policy See pages 244 of the text.

66 66 Three ecade ecimal ounter

67 ounting Modulo N The following techniques use an n-bit binary counter with asynchronous or synchronous clear and/or parallel load: etect a terminal count of N in a Modulo-N count sequence to asynchronously lear the count to or asynchronously Load in value (These lead to counts which are present for only a very short time and can fail to work for some timing conditions!) etect a terminal count of N - in a Modulo-N count sequence to lear the count synchronously to etect a terminal count of N - in a Modulo-N count sequence to synchronously Load in value etect a terminal count and use Load to preset a count of the terminal count value minus (N - ) Alternatively, custom design a modulo N counter as done for B

68 A B ounter 68 Generate any count sequence: E.g.: design a B counter by using a counter w/ parallel load & async clear lock TR 4 Load ount Q Q Q Q 2 Q 2 Q 2 (Logic ) 3 Q 3 O Q 3

69 ounting Modulo 6: Synchronously Preset 9 on Reset and Load 9 on Terminal ount 4 A synchronous, 4-bit binary counter with a synchronous Load is to be used to make a Modulo 6 counter. Use the Load feature to preset the count to 9 on Reset and detection of count 4. lock Reset 3 Q3 2 Q2 Q Q P LOA LEAR This gives a count of 9,,, 2, 3, 4, 9,,, 2, 3, 4, 9, If the terminal count is 5 detection is usually built in as arry Out (O)

70 ounting Modulo 7: etect 7 and Asynchronously lear ha pt er 7 - Pa rt 2 7 A synchronous 4-bit binary counter with an asynchronous lear is used to make a Modulo 7 counter. Use the lear feature to detect the count 7 and clear the count to. This gives a count of,, 2, 3, 4, 5, 6, 7(short),, 2, 3, 4, 5, 6, 7(short), etc. lock 3 Q3 2 Q2 Q Q P LOA LEAR ON T O THIS! Existence of state 7 may not be long enough to reliably reset all flip-flops to. Referred to as a suicide counter! (ount 7 is killed, but the designer s job may be dead as well!)

71 ounting Modulo 7: Synchronously Load on Terminal ount of 6 A synchronous 4-bit binary counter with a synchronous load and an asynchronous clear is used to make a Modulo 7 counter Use the Load feature to detect the count "6" and load in "zero". This gives a count of,, 2, 3, 4, 5, 6,,, 2, 3, 4, 5, 6,,... Using don t cares for states above, detection of 6 can be done with Load = Q4 Q2 lock Reset 3 Q3 2 Q2 Q Q P LOA LEAR

72 4-bit Shift Register with Reset 72 library ieee; use ieee.std_logic_64.all; entity srg_4_r is port(lk, RESET, SI : in std_logic; Q : out std_logic_vector(3 downto ); SO : out std_logic); end srg_4_r;

73 4-bit Shift Register with Reset 73 architecture behavioral of srg_4_r is signal shift : std_logic_vector (3 downto ); begin process (RESET, LK) begin if (RESET = '') then shift <= " ; elsif (LK'event and (LK = '')) then shift <= shift(2 downto ) & SI; end if; end process; Q <= shift; SO <= shift(3); end behavioral;

74 4-bit Binary ounter with Reset 74 library ieee; use ieee.std_logic_64.all; use ieee.std_logic_unsigned.all; entity count_4_r is port(lk, RESET, EN : in std_logic; Q : out std_logic_vector(3 downto ); O : out std_logic); end count_4_r;

75 4-bit Binary ounter with Reset 75 architecture behavioral of count_4_r is signal count : std_logic_vector(3 downto ); begin process (RESET, LK) begin if (RESET = '') then count <= " ; elsif (LK'event and (LK = '') and (EN = '')) then count <= count + " ; end if; end process; O <= '' when count = "" and EN = '' else ' ; Q <= count; end behavioral;

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 6 Registers and Counters ELEN0040 6-277 Design of a modulo-8 binary counter using JK Flip-flops 3 bits are required

More information

ECE 3401 Lecture 11. Sequential Circuits

ECE 3401 Lecture 11. Sequential Circuits EE 3401 Lecture 11 Sequential ircuits Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University Registers & ounters Logic and igital System esign - S 33 Erkay Savaş Sabanci University Registers Registers like counters are clocked sequential circuits A register is a group of flip-flops Each flip-flop

More information

CSC Computer Architecture and Organization

CSC Computer Architecture and Organization S 37 - omputer Architecture and Organization Lecture 6: Registers and ounters Registers A register is a group of flip-flops. Each flip-flop stores one bit of data; n flip-flops are required to store n

More information

ECE 3401 Lecture 12. Sequential Circuits (II)

ECE 3401 Lecture 12. Sequential Circuits (II) EE 34 Lecture 2 Sequential ircuits (II) Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

Agenda. EE 260: Introduction to Digital Design Counters and Registers. Asynchronous (Ripple) Counters. Asynchronous (Ripple) Counters

Agenda. EE 260: Introduction to Digital Design Counters and Registers. Asynchronous (Ripple) Counters. Asynchronous (Ripple) Counters EE26: igital esign, Spring 28 4/8/8 EE 26: Introduction to igital esign ounters and Registers Yao Zheng epartment of Electrical Engineering University of Hawaiʻi at Mānoa Agenda ounters Introduction: ounters

More information

Registers & Counters. BME208 Logic Circuits Yalçın İŞLER

Registers & Counters. BME208 Logic Circuits Yalçın İŞLER Registers & ounters BME28 Logic ircuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com Registers Registers are clocked sequential circuits A register is a group of flip-flops 2 Each flip-flop capable

More information

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Review of digital electronics. Storage units Sequential circuits Counters Shifters Review of digital electronics Storage units Sequential circuits ounters Shifters ounting in Binary A counter can form the same pattern of 0 s and 1 s with logic levels. The first stage in the counter represents

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks Outline PE/EE 422/522 Advanced Logic Design L4 Electrical and omputer Engineering University of Alabama in Huntsville What we know ombinational Networks Analysis, Synthesis, Simplification, Hazards, Building

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and Computer Design Fundamentals Chapter 5 Sequential Circuits Part 2 Sequential Circuit Design Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

Fall 2000 Chapter 5 Part 1

Fall 2000 Chapter 5 Part 1 ECE/CS 352 Digital Systems Fundamentals Fall 2000 Chapter 5 Part 1 Tom Kaminski & Charles R. Kime ECE/CS 352 Digital System Fundamentals T. Kaminski & C. Kime 1 Registers A register is a collection of

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive 1.1 1. Learning Outcomes Unit 1 I understand the difference between levelsensitive and edge-sensitive I understand how to create an edge-triggered FF from latches Sequential Logic onstructs 1. 1.4 Sequential

More information

Registers and Counters

Registers and Counters Registers and Counters ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Registers Shift Registers

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 2: igital Logic ircuit esign r Radwan E Abdel-Aal, OE Logic and omputer esign Fundamentals hapter 3 Unit ombinational 4 Sequential Logic esign ircuits Part Implementation Technology and Logic esign

More information

ASYNCHRONOUS SEQUENTIAL CIRCUIT CONCEPTS

ASYNCHRONOUS SEQUENTIAL CIRCUIT CONCEPTS ASYNHRONOUS SEQUENTIAL IRUIT ONEPTS Synchronous ircuit Asynchronous ircuit (a) Synchronous to Asynchronous Asynchronous ircuit Asynchronous Signals Synchronous ircuit (b) Asynchronous to Synchronous Synchronous

More information

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram UNIT III INTRODUCTION In combinational logic circuits, the outputs at any instant of time depend only on the input signals present at that time. For a change in input, the output occurs immediately. Combinational

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Sequential

More information

Chapter 6 Registers and Counters

Chapter 6 Registers and Counters EEA051 - Digital Logic 數位邏輯 Chapter 6 Registers and Counters 吳俊興國立高雄大學資訊工程學系 January 2006 Chapter 6 Registers and Counters 6-1 Registers 6-2 Shift Registers 6-3 Ripple Counters 6-4 Synchronous Counters

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1 DAY MODU LE TOPIC QUESTIONS Day 1 Day 2 Day 3 Day 4 I Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation Phase Shift Wein Bridge oscillators.

More information

Registers and Counters

Registers and Counters Registers and Counters ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2011 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Registers Shift Registers

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering BCN1043 By Dr. Mritha Ramalingam Faculty of Computer Systems & Software Engineering mritha@ump.edu.my http://ocw.ump.edu.my/ authors Dr. Mohd Nizam Mohmad Kahar (mnizam@ump.edu.my) Jamaludin Sallim (jamal@ump.edu.my)

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and omputer esign Fundamentals hapter 5 Sequential ircuits Part - Storage Elements Part Storage Elements and Sequential ircuit Analysis harles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers.

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. Digital computer is a digital system that performs various computational tasks. The word DIGITAL

More information

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q hapter 9 ounters 9. Introduction ounters are devices which have a LOK input and produce n outputs. ounters consist of flip-flops connected together in specific ways such that on each clock edge the output

More information

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Sequential circuits Classification of sequential circuits: Sequential circuits may be classified as two types. 1. Synchronous sequential

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT 4 SYNCHRONOUS SEQUENTIAL LOGIC Sequential circuits

More information

Digital Logic Design ENEE x. Lecture 19

Digital Logic Design ENEE x. Lecture 19 Digital Logic Design ENEE 244-010x Lecture 19 Announcements Homework 8 due on Monday, 11/23. Agenda Last time: Timing Considerations (6.3) Master-Slave Flip-Flops (6.4) This time: Edge-Triggered Flip-Flops

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code: 17320 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2)

More information

CS/ECE 250: Computer Architecture. Basics of Logic Design: ALU, Storage, Tristate. Benjamin Lee

CS/ECE 250: Computer Architecture. Basics of Logic Design: ALU, Storage, Tristate. Benjamin Lee CS/ECE 25: Computer Architecture Basics of Logic esign: ALU, Storage, Tristate Benjamin Lee Slides based on those from Alvin Lebeck, aniel, Andrew Hilton, Amir Roth, Gershon Kedem Homework #3 ue Mar 7,

More information

Outputs Combinational circuit. Next state. Fig. 4-1 Block Diagram of a Sequential Circuit

Outputs Combinational circuit. Next state. Fig. 4-1 Block Diagram of a Sequential Circuit 4- Inputs Outputs ombinational circuit Next state Storage elements Present state Fig. 4- Block Diagram of a Sequential ircuit 2 Prentice Hall, Inc. 4-2 (a) t pd (b) t pd 2 t pd (d) 2 t pd (c) t pd Fig.

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

Analysis of Sequential Circuits

Analysis of Sequential Circuits NOTE: Explanation Refer lass Notes Digital ircuits(15ee23) Analysis of Sequential ircuits by Nagaraj Vannal, Asst.Professor, School of Electronics Engineering,.L.E. Technological University, Hubballi.

More information

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs Part 4: Introduction to Sequential Logic Basic Sequential structure There are two kinds of components in a sequential circuit: () combinational blocks (2) storage elements Combinational blocks provide

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

Principles of Computer Architecture. Appendix A: Digital Logic

Principles of Computer Architecture. Appendix A: Digital Logic A-1 Appendix A - Digital Logic Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

`COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University

`COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University `OEN 32 IGITL SYSTEMS ESIGN - LETURE NOTES oncordia University hapter 5: Synchronous Sequential Logic NOTE: For more eamples and detailed description of the material in the lecture notes, please refer

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2012 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Sequential

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and omputer Design Fundamentals hapter 5 Sequential ircuits Part 1 Storage Elements and Sequential ircuit Analysis harles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

UNIT-3: SEQUENTIAL LOGIC CIRCUITS UNIT-3: SEQUENTIAL LOGIC CIRCUITS STRUCTURE 3. Objectives 3. Introduction 3.2 Sequential Logic Circuits 3.2. NAND Latch 3.2.2 RS Flip-Flop 3.2.3 D Flip-Flop 3.2.4 JK Flip-Flop 3.2.5 Edge Triggered RS Flip-Flop

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

UNIT IV. Sequential circuit

UNIT IV. Sequential circuit UNIT IV Sequential circuit Introduction In the previous session, we said that the output of a combinational circuit depends solely upon the input. The implication is that combinational circuits have no

More information

Modeling Digital Systems with Verilog

Modeling Digital Systems with Verilog Modeling Digital Systems with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 6-1 Composition of Digital Systems Most digital systems can be partitioned into two types

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053 SET - 1 1. a) What are the characteristics of 2 s complement numbers? b) State the purpose of reducing the switching functions to minimal form. c) Define half adder. d) What are the basic operations in

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Computer Architecture and Organization

Computer Architecture and Organization A-1 Appendix A - Digital Logic Computer Architecture and Organization Miles Murdocca and Vincent Heuring Appendix A Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Counters Chapter 8 A System: Digital Clock Digital Clock: Counter Logic Diagram Digital Clock: Hours Counter & Decoders Finite State Machines Moore machine: One

More information

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012 1 McGill University Faculty of Engineering ECSE-221B Introduction to Computer Engineering Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012 Examiner: Rola Harmouche Date:

More information

Sequential Logic Counters and Registers

Sequential Logic Counters and Registers Sequential Logic ounters and Registers ounters Introduction: ounters Asynchronous (Ripple) ounters Asynchronous ounters with MOD number < 2 n Asynchronous Down ounters ascading Asynchronous ounters svbitec.wordpress.com

More information

Problems with D-Latch

Problems with D-Latch Problems with -Latch If changes while is true, the new value of will appear at the output. The latch is transparent. If the stored value can change state more than once during a single clock pulse, the

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

ECE 25 Introduction to Digital Design. Chapter 5 Sequential Circuits ( ) Part 1 Storage Elements and Sequential Circuit Analysis

ECE 25 Introduction to Digital Design. Chapter 5 Sequential Circuits ( ) Part 1 Storage Elements and Sequential Circuit Analysis EE 25 Introduction to igital esign hapter 5 Sequential ircuits (5.1-5.4) Part 1 Storage Elements and Sequential ircuit Analysis Logic and omputer esign Fundamentals harles Kime & Thomas Kaminski 2008 Pearson

More information

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm) Switching Circuits & Logic Design, Fall 2011 Final Examination (1/13/2012, 3:30pm~5:20pm) Problem 1: (15 points) Consider a new FF with three inputs, S, R, and T. No more than one of these inputs can be

More information

Chapter 3. Boolean Algebra and Digital Logic

Chapter 3. Boolean Algebra and Digital Logic Chapter 3 Boolean Algebra and Digital Logic Chapter 3 Objectives Understand the relationship between Boolean logic and digital computer circuits. Learn how to design simple logic circuits. Understand how

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

UNIVERSITI TEKNOLOGI MALAYSIA

UNIVERSITI TEKNOLOGI MALAYSIA SULIT Faculty of Computing UNIVERSITI TEKNOLOGI MALAYSIA FINAL EXAMINATION SEMESTER I, 2016 / 2017 SUBJECT CODE : SUBJECT NAME : SECTION : TIME : DATE/DAY : VENUES : INSTRUCTIONS : Answer all questions

More information

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3)

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3) Logic esign ( Part ) Sequential Logic- Finite State Machines (Chapter ) Based on slides McGraw-Hill Additional material 00/00/006 Lewis/Martin Additional material 008 Roth Additional material 00 Taylor

More information

Synchronous Sequential Logic. Chapter 5

Synchronous Sequential Logic. Chapter 5 Synchronous Sequential Logic Chapter 5 5-1 Introduction Combinational circuits contains no memory elements the outputs depends on the inputs Synchronous Sequential Logic 5-2 5-2 Sequential Circuits Sequential

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

St. MARTIN S ENGINEERING COLLEGE

St. MARTIN S ENGINEERING COLLEGE St. MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electronics and Communication Engineering : II B. Tech I Semester : SWITCHING THEORY AND LOGIC

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

The word digital implies information in computers is represented by variables that take a limited number of discrete values.

The word digital implies information in computers is represented by variables that take a limited number of discrete values. Class Overview Cover hardware operation of digital computers. First, consider the various digital components used in the organization and design. Second, go through the necessary steps to design a basic

More information

Supplement 3 Asynchronous Sequential Circuit Concepts

Supplement 3 Asynchronous Sequential Circuit Concepts January 999 Supplement 3 Asynchronous Sequential ircuit oncepts EE/omp. Sci. 352 igital System Fundamentals harles R. Kime epartment of Electrical and omputer Engineering University of Wisconsin Madison

More information

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39 BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39 Objectives: Students should be able to Thursday 21 st January 2016 @ 10:45 am Module

More information

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A SET - 1 Note: Question Paper consists of two parts (Part-A and Part-B) Answer ALL the question in Part-A Answer any THREE Questions from Part-B a) What are the characteristics of 2 s complement numbers?

More information

Registers and Counters

Registers and Counters Registers and Counters A register is a group of flip-flops which share a common clock An n-bit register consists of a group of n flip-flops capable of storing n bits of binary information May have combinational

More information

Spring 2017 EE 3613: Computer Organization Chapter 5: The Processor: Datapath & Control - 1

Spring 2017 EE 3613: Computer Organization Chapter 5: The Processor: Datapath & Control - 1 Spring 27 EE 363: Computer Organization Chapter 5: The Processor: atapath & Control - Avinash Kodi epartment of Electrical Engineering & Computer Science Ohio University, Athens, Ohio 457 E-mail: kodi@ohio.edu

More information

Chapter 9: Shift Registers

Chapter 9: Shift Registers 樹德科技大學資訊工程系 hapter 9: Shift Registers Shi-Huang hen Fall 2010 1 Outline Basic Shift Register Functions Serial In/Serial Out Shift Registers Serial In/Parallel Out Shift Registers Parallel In/Serial Out

More information

Flip-Flops and Registers

Flip-Flops and Registers The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and Kinney, and were used with permission from Cengage Learning. Flip-Flops and

More information