Lab #12: 4-Bit Arithmetic Logic Unit (ALU)

Size: px
Start display at page:

Download "Lab #12: 4-Bit Arithmetic Logic Unit (ALU)"

Transcription

1 Lab #12: 4-Bit Arithmetic Logic Unit (ALU) ECE/COE 0501 Date of Experiment: 4/3/2017 Report Written: 4/5/2017 Submission Date: 4/10/2017 Nicholas Haver 1 H a v e r

2 PURPOSE The purpose of this lab was to design and build an arithmetic logic unit, and incorporate it into our existing register file from Lab 11. In doing this, we created a simple computer capable of storing values and performing a variety of arithmetic and logic operations on the values. Each operation required two 4-bit ALU inputs, and the ALU produced a single 4-bit output. After the circuit was designed in Altera Quartus II, a series of programs were used to test circuit functionality. These programs were waveforms designed to carry out a specific operation on a specific pair of operands. Both logic operations, such as AND and XOR, and arithmetic operations, such as addition and multiplication, were carried out in the test programs. METHOD 1) After examining the provided block diagram in Figure 1, the 4-bit latch was designed on paper, then using Altera Quartus II with D flip-flops, and was then tested using a vector waveform. Figures 2 and 3 show the 4-bit latch schematic and test waveform. 2) Based on the block diagram, the Altera Quartus schematic was revised to include the latch, arithmetic logic unit (ALU), and 4x2: data selector. A writeback datapath between the ALU output and data selector was also added. The Quartus schematic can be seen in Figure 4. 3) Using a vector waveform, shown in Figure 5, schematic functionality was verified by simulating four operations, two logic and two arithmetic. For each operation, two operands were loaded into two different registers, and the output was written to a third register. Operations, function select codes, mode control inputs, data inputs, and data outputs are shown in Table 1. 4) The next program, implemented in the form of a vector waveform, allowed the ALU to sum four hexadecimal digits, and store the result in one of the registers. Table 2 shows the function select code, mode control input, data inputs, data output and final register values of this operation. Figure 6 shows the vector waveform generated used for this simulation. 5) The final program allowed the ALU to multiply two hexadecimal numbers ad store the lower four bits of the result to a register. Prior to implementing this operation in the form of a vector waveform, a pseudo-assembly code was written to improve the accuracy and efficiency of the waveform inputs to be implemented. Table 3 shows the pseudo-assembly code and final register values for the multiplication process. 6) Based on the pseudo-assembly code, a vector waveform file, shown in Figure 7, was constructed to implement the multiplication. Periodic checkpoints in which all register values were read out were included to simplify any necessary debugging. Table 4 shows the function select code, mode control input, data inputs, data output and final register values of this operation. Due to laboratory time constraints, the schematic was only simulated to calculate the first partial product. However, based on the pseudo-assembly code, the method used could be continued with each additional bit of the first multiplicand, and the values could be summed to complete the multiplication. 7) Based on the Quartus schematic, the ALU circuit was implemented on a protoboard, adding to the register file constructed in Lab 11. All of the control inputs were implemented using de-bounced switches using 7400 Quad NAND integrated circuits. The de-bounced switch schematic is shown in Figure 8. Table 5 details the integrated circuits used in implementing the ALU/register file. Specification for the integrated circuits are shown in Figures ) The operations discussed in Steps 3, 4, and 6 were carried out on the circuit, and evaluated using an Intronix LogicPort logic analyzer. Logic analyzer waveforms are shown in Figures H a v e r

3 RESULTS Figure 1: ALU/Register Block Diagram for Lab #12 Figure 2: Altera Quartus 4-Bit Latch Schematic 3 H a v e r Figure 3: Altera Quartus 4-Bit Latch Test Waveform

4 Figure 4: Altera Quartus ALU Schematic Figure 5: Vector Waveform for ALU Functionality Verification 4 H a v e r

5 Operation Function Select Mode Control Input A Input B Output A OR B A XOR B A plus B (A AND B) minus Table 1: Inputs, Outputs, and Control Signals for ALU Functionality Verification Operation Function Select Mode Control Data Inputs Data Output Final Register Values A plus B plus C plus D (3) 1011 (11) 1011 (11) 0101 (5) 0101 (5) 0010 (2) 0010 (2) 0001 (1) 0001 (1) Table 2: Inputs, Outputs, and Control Signals for ALU 4 Hex Sum Program Figure 6: Vector Waveform for ALU 4 Hex Sum Program 5 H a v e r

6 Data Input: A R0 Data Input: B R1 Data Input: 0001 R2 R2 latch ALU OP: R1 AND latch 000b 0 R2 R2 latch ALU OP: R0 AND latch 000 a 0b 0 R3 R2 latch ALU OP: A plus A*: R2 / latch 00 b 0 0 R2 //shift 000b 0 left R2 latch ALU OP: R0 AND R2 00 a 1b 0 0 R2 R2 latch ALU OP: R2 OR R3 00 a 1b 0 a 0b 0 R2 Data Input 0001 R3 //This value remains on DIP switches ALU OP: R1 AND R3 000 b 0 R3 ALU OP: A plus A*: R3 / latch 00 b 0 0 R3 //shift 000b 0 left ALU OP: A plus A*: R3 / latch 0 b 0 00 R3 // shift 00b 00 left ALU OP: R0 AND R3 0 a 2b 0 00 R3 ALU OP: R3 OR R2 0 a 2b 0 a 1b 0 a 0b 0 R2 ALU OP: R3 AND R1 000 b 0 R3 ALU OP: A plus A*: R3 / latch 00 b 0 0 R3 ALU OP: A plus A*: R3 / latch 0 b 0 00 R3 ALU OP: A plus A*: R3 / latch b R3 ALU OP: R0 AND R3 a 3b R3 ALU OP: R2 OR R3 a 3b 0 a 2b 0 a 1b 0 a 0b 0 R2 //First Partial Product! ALU OP: A plus A*: R3 / latch 0010 R3 ALU OP: R1 AND R3 R3 R0 latch ALU OP: A plus A*: R0 / latch a 2a 1a 00 R0 //A is shifted left permanently ALU OP: R3 AND R0 00 a 0b 1 0 R3 ALU OP: R3 OR R2 R2 ALU OP: A plus A*: R3 / latch 0010 R3 ALU OP: R3 AND R1 00b 10 R3 ALU OP: A plus A*: R3 / latch 0b 100 R3 ALU OP: R0 AND R3 0 a 1b 1 00 R3 ALU OP: R2 OR R3 R2 ALU OP: A plus A*: R3 / latch 0010 R3 ALU OP: R3 AND R1 00b 10 R3 ALU OP: A plus A*: R3 / latch 0b 100 R3 ALU OP: A plus A*: R3 / latch b 1000 R3 ALU OP: R3 AND R0 a 2b R3 ALU OP: R3 OR R2 R2 ALU OP: A plus A*: R3 / latch 0010 R3 ALU OP: A plus A*: R3 / latch 0100 R3 R0 latch ALU OP: A plus A*: R0 / latch a 1a 000 R0 ALU OP: R1 AND R3 0 b 2 00 R3 ALU OP: R0 AND R3 0 a 0b 2 00 R3 ALU OP: R2 OR R3 R2 ALU OP: A plus A*: R3 / latch 0010 R3 ALU OP: A plus A*: R3 / latch 0100 R3 ALU OP: R3 AND R1 0b 200 R3 ALU OP: A plus A*: R3 / latch b 2000 R3 ALU OP: R3 AND R0 a 1b R3 ALU OP: R3 OR R2 R2 ALU OP: A plus A*: R3 / latch 0010 R3 ALU OP: A plus A*: R3 / latch 0100 R3 ALU OP: A plus A*: R3 / latch 1000 R3 ALU OP: R3 AND R1 b 3000 R3 R0 latch ALU OP: A plus A*: R0 / latch a 0000 R0 ALU OP: R0 AND R3 a 0b R3 ALU OP: R3 OR R2 R2 //RESULT: R2 = R0 x R1 ***Final Register Values*** R0: a 0000 R1: B R2: (a 3b 0+a 2b 1+a 1b 2+a 0b 3) (a 1b 1 + a 2b 0+a 0b 2) (a 1b 0 + a 0b 1) a 0b 0 R3: a 0b Table 3: Pseudo-Assembly Code for Hexadecimal Value Multiplication 6 H a v e r

7 Figure 7: Vector Waveform for First Partial Product of Hexadecimal Multiplication Operation Function Selects Mode Control Data Inputs Data Output Final Register Values A x B 1011 (A AND B) (5) 0101 (5) 0101 (5) 1100 (A plus A*) (3) 0011 (3) 1001 (A plus B) (5) 0000 (0) Table 4: Inputs, Output, and Control Signals for First Partial Product of ALU Hex Multiplication Program Figure 8: De-Bounced Switch Control Input Schematic 7 H a v e r

8 Integrated Circuit Use in ALU/Register File Quad 2/1 Data Selector (Multiplexor) Select register input between user data input and ALU output x4 Register File Store 4 hexadecimal (4-bit) data inputs, ALU operands, and ALU outputs Bit Up/Down Binary Counter Cycle through register addresses to read and write BCD to 7-Segment Decoder Drive 7-segment LED displays at register output and ALU output Arithmetic Logic Unit Perform logic or arithmetic operations based on function select inputs 7474 Dual D Flip-Flop 4-Bit latch for ALU operand input 7400 Quad 2-Input NAND Gate De-bounced switch for control inputs 7-Segment LED Display Display hexadecimal values at register output and ALU output Table 5: Integrated Circuits Used to Implement ALU and Register File Figure 9: Quad 2/1 Data Selector (Multiplexor) Pin Diagram and Descriptions Figure 10: 4x4 Register File Pin Diagram and Descriptions 8 H a v e r

9 Figure 11: 4-Bit Up/Down Binary Counter Pin Diagram and Descriptions Figure 12: BCD to 7-Segment Decoder Pin Diagram and Descriptions Figure 13: Arithmetic Logic Unit Pin Diagram and Descriptions 9 H a v e r

10 Figure 14: Dual D Flip-Flop Pin Diagram and Logic Diagram Figure 15: Quad 2-Input NAND Gate Pin Diagram and Logic Diagram Figure 16: 7-Segment LED Display Wiring Diagram 10 H a v e r

11 Figure 17: Logic Analyzer Waveform for "1101 OR 0110 = 1111" Figure 18: Logic Analyzer Waveform for "1001 XOR 0100 = 1101" As with the Quartus simulation, four operations were carried out to verify circuit functionality. Figure 17 shows that 1101 (13) OR 0110 (6) = 1111 (15). In Figure 18, 1001 (9) XOR 0100 (4) = 1101 (13). Figure 19 shows that 0101 (5) plus 0001 (1) = 0110 (6). Lastly, in Figure 20, [0011 (3) AND 0010 (2)] minus 1 = 0001 (1). Figure 19: Logic Analyzer Waveform for "0101 plus 0001 = 0110" 11 H a v e r

12 Figure 20: Logic Analyzer Waveform for "(0011 AND 0010) minus 1 = 0001" Figure 21: Logic Analyzer Waveform for First Partial Product of Hexadecimal Multiplication "0101 x 0011 = 1111" 12 H a v e r

13 CONCLUSION The purpose of this lab was to design and build an arithmetic logic unit, and incorporate it into our existing register file from Lab 11. In doing this, we created a simple computer capable of storing values and performing a variety of arithmetic and logic operations on the values. Using Altera Quartus, a schematic was tested using programs in the form of vector waveforms with inputs and control signals. Logic operations and arithmetic operations, such as addition and multiplication were carried out both in Quartus and on the protoboard. The time consumption and complexity of these operations, particularly multiplication, is a testament to the marvel that is modern computing. In Lab 13, the circuit constructed in Labs 11 and 12 will be realized on a field programmable gate array, or FPGA. Circuit characteristics will be established in PC software, which will then be downloaded onto the FPGA. REFERENCES Dr. Alex Jones s laboratory instructions ECE/COE 0501 Lab Manual Data sheets for 74157, 74670, 74193, 74247, 74181, 7474, and 7400 integrated circuits 7-Segment LED display wiring diagram Lab Partner: Jenn Gingerich 13 H a v e r

Lab #11: Register Files

Lab #11: Register Files Lab #11: Register Files ECE/COE 0501 Date of Experiment: 3/20/2017 Report Written: 3/22/2017 Submission Date: 3/27/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose of this lab

More information

CHAPTER 4 RESULTS & DISCUSSION

CHAPTER 4 RESULTS & DISCUSSION CHAPTER 4 RESULTS & DISCUSSION 3.2 Introduction This project aims to prove that Modified Baugh-Wooley Two s Complement Signed Multiplier is one of the high speed multipliers. The schematic of the multiplier

More information

Lab #13: FPGA Circuit Realization

Lab #13: FPGA Circuit Realization Lab #13: FPGA Circuit Realization ECE/COE 0501 Date of Experiment: 4/12/2017 Report Written: 4/17/2017 Submission Date: 4/19/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE In this lab,

More information

Lab #11: Register Files

Lab #11: Register Files Lab #11: Register Files Zack Mattis Lab: 3/21/17 Report: 3/26/17 Partner: Brendan Schuster Purpose In this lab, 4x4 register was designed and fully implemented onto a protoboard that emulates the local

More information

Lab 13: FPGA Circuit Realization Ian Callahan

Lab 13: FPGA Circuit Realization Ian Callahan Callahan 1 Lab 13: FPGA Circuit Realization Ian Callahan (ipc8@pitt.edu) Purpose The goal of this lab was to implement the circuit description from Lab 12 and implement it on a Field Programmable Gate

More information

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems Lab 6 35 Marks (3 weeks) Design of a Simple General-Purpose Processor Due Date: Week 12 Objective:

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory Project Resources Project resources are allocated on a per

More information

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator University of Pennsylvania Department of Electrical and Systems Engineering Digital Design Laboratory Purpose Lab Calculator The purpose of this lab is: 1. To get familiar with the use of shift registers

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE NORTHWESTERN UNIVERSITY TECHNOLOGICL INSTITUTE ECE 270 Experiment #8 DIGITL CIRCUITS Prelab 1. Draw the truth table for the S-R Flip-Flop as shown in the textbook. Draw the truth table for Figure 7. 2.

More information

ELEC 204 Digital System Design LABORATORY MANUAL

ELEC 204 Digital System Design LABORATORY MANUAL Elec 24: Digital System Design Laboratory ELEC 24 Digital System Design LABORATORY MANUAL : 4-bit hexadecimal Decoder & 4-bit Increment by N Circuit College of Engineering Koç University Important Note:

More information

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET LABORATORY MANUAL EXPERIMENT NO. 1 ISSUE NO. : ISSUE DATE: REV. NO. : REV. DATE :

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Physics 323. Experiment # 10 - Digital Circuits

Physics 323. Experiment # 10 - Digital Circuits Physics 323 Experiment # 10 - Digital Circuits Purpose This is a brief introduction to digital (logic) circuits using both combinational and sequential logic. The basic building blocks will be the Transistor

More information

Register Transfer Level in Verilog: Part II

Register Transfer Level in Verilog: Part II Source: M. Morris Mano and Michael D. Ciletti, Digital Design, 4rd Edition, 2007, Prentice Hall. Register Transfer Level in Verilog: Part II Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National

More information

North Shore Community College

North Shore Community College North Shore Community College Course Number: IEL217 Section: MAL Course Name: Digital Electronics 1 Semester: Credit: 4 Hours: Three hours of Lecture, Two hours Laboratory per week Thursdays 8:00am (See

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

Altera s Max+plus II Tutorial

Altera s Max+plus II Tutorial Altera s Max+plus II Tutorial Written by Kris Schindler To accompany Digital Principles and Design (by Donald D. Givone) 8/30/02 1 About Max+plus II Altera s Max+plus II is a powerful simulation package

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering Semester III Subject Name: Digital Electronics Subject Code: 09CT0301 Diploma Branches in which this subject is offered: Objective: The subject aims to prepare the students, To understand the basic of

More information

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1.

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1. [Question 1 is compulsory] 1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. Figure 1.1 b) Minimize the following Boolean functions:

More information

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi EEE130 Digital Electronics I Lecture #1_2 Dr. Shahrel A. Suandi 1-4 Overview of Basic Logic Functions Digital systems are generally built from combinations of NOT, AND and OR logic elements The combinations

More information

Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours

Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours Aim To investigate the basic digital circuit building blocks constructed from combinatorial logic or dedicated Integrated

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

Experiment (6) 2- to 4 Decoder. Figure 8.1 Block Diagram of 2-to-4 Decoder 0 X X

Experiment (6) 2- to 4 Decoder. Figure 8.1 Block Diagram of 2-to-4 Decoder 0 X X 8. Objectives : Experiment (6) Decoders / Encoders To study the basic operation and design of both decoder and encoder circuits. To describe the concept of active low and active-high logic signals. To

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

Read-only memory (ROM) Digital logic: ALUs Sequential logic circuits. Don't cares. Bus

Read-only memory (ROM) Digital logic: ALUs Sequential logic circuits. Don't cares. Bus Digital logic: ALUs Sequential logic circuits CS207, Fall 2004 October 11, 13, and 15, 2004 1 Read-only memory (ROM) A form of memory Contents fixed when circuit is created n input lines for 2 n addressable

More information

Elwin Cabrera May 11, 2016 DIGITAL CLOCK. ECE271/CSC222 Final Project Report

Elwin Cabrera May 11, 2016 DIGITAL CLOCK. ECE271/CSC222 Final Project Report Elwin Cabrera May 11, 2016 DIGITAL CLOCK ECE271/CSC222 Final Project Report Problem Specification: For our CSC222/ECE271 final, we had to design a digital clock. We had to use Quartus and design a control

More information

Combinational Logic Design

Combinational Logic Design Lab #2 Combinational Logic Design Objective: To introduce the design of some fundamental combinational logic building blocks. Preparation: Read the following experiment and complete the circuits where

More information

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH CPE 200L LABORATORY 3: SEUENTIAL LOGIC CIRCUITS DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Learn to use Function Generator and Oscilloscope on the breadboard.

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Laboratory Exercise 3

Laboratory Exercise 3 Laboratory Exercise 3 Latches, Flip-flops, and egisters The purpose of this exercise is to investigate latches, flip-flops, and registers. Part I Altera FPGAs include flip-flops that are available for

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Fundamentals Of Digital Logic 1 Our Goal Understand Fundamentals and basics Concepts How computers work at the lowest level Avoid whenever possible Complexity Implementation

More information

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL School of Engineering, University of Guelph Fall 2017 1 Objectives: Start Date: Week #7 2017 Report Due Date: Week #8 2017, in the

More information

DepartmentofElectronicEngineering NEDUniversity ofengineering &Technology LABORATORY WORKBOOK DIGITAL LOGIC DESIGN (TC-201)

DepartmentofElectronicEngineering NEDUniversity ofengineering &Technology LABORATORY WORKBOOK DIGITAL LOGIC DESIGN (TC-201) DepartmentofElectronicEngineering NEDUniversity ofengineering &Technology LABORATORY WORKBOOK DIGITAL LOGIC DESIGN (TC-201) Instructor Name: Student Name: Roll Number: Semester: Batch: Year: Department:

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

Modeling Digital Systems with Verilog

Modeling Digital Systems with Verilog Modeling Digital Systems with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 6-1 Composition of Digital Systems Most digital systems can be partitioned into two types

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School Course Name : : ELECTRICAL ENGINEERING 2 ND YEAR ELECTRONIC DESIGN LAB Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School of

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

Fundamentals of Digital Electronics

Fundamentals of Digital Electronics Fundamentals of Digital Electronics by Professor Barry Paton Dalhousie University March 998 Edition Part Number 32948A- Fundamentals of Digital Electronics Copyright Copyright 998 by National Instruments

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and

More information

List of the CMOS 4000 series Dual tri-input NOR Gate and Inverter Quad 2-input NOR gate Dual 4-input NOR gate

List of the CMOS 4000 series Dual tri-input NOR Gate and Inverter Quad 2-input NOR gate Dual 4-input NOR gate List of the CMOS 4000 series 4000 - Dual tri-input NOR Gate and Inverter 4001 - Quad 2-input NOR gate 4002 - Dual 4-input NOR gate 4006-18 stage Shift register 4007 - Dual Complementary Pair Plus Inverter

More information

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date: Richland College School of Engineering & Technology Rev. 0 B. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. Bradbury Rev. 3 (7/2015) J. Bradbury Digital Fundamentals CETT 1425 Lab 5 Latches & Flip-Flops

More information

Minnesota State College Southeast

Minnesota State College Southeast ELEC 2211: Digital Electronics II A. COURSE DESCRIPTION Credits: 4 Lecture Hours/Week: 2 Lab Hours/Week: 4 OJT Hours/Week: *.* Prerequisites: None Corequisites: None MnTC Goals: None Minnesota State College

More information

Lab 2: Hardware/Software Co-design with the Wimp51

Lab 2: Hardware/Software Co-design with the Wimp51 Lab 2: Hardware/Software Co-design with the Wimp51 CpE 214: Digital Engineering Lab II Last revised: February 26, 2013 (CAC) Hardware software co-design, now standard in industry, is an approach that brings

More information

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory RPI Rensselaer Polytechnic Institute Computer Hardware Design ECSE 4770 Report Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory Name: Walter Dearing Group: Brad Stephenson David Bang

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8 CSCB58 - Lab 4 Clocks and Counters Learning Objectives The purpose of this lab is to learn how to create counters and to be able to control when operations occur when the actual clock rate is much faster.

More information

Laboratory Exercise 6

Laboratory Exercise 6 Laboratory Exercise 6 The purpose of this exercise is to investigate latches, flip-flops, and counters. Part I Altera FPGAs include flip-flops that are available for implementing a user s circuit. We will

More information

CS 261 Fall Mike Lam, Professor. Sequential Circuits

CS 261 Fall Mike Lam, Professor. Sequential Circuits CS 261 Fall 2018 Mike Lam, Professor Sequential Circuits Circuits Circuits are formed by linking gates (or other circuits) together Inputs and outputs Link output of one gate to input of another Some circuits

More information

Laboratory 8. Digital Circuits - Counter and LED Display

Laboratory 8. Digital Circuits - Counter and LED Display Laboratory 8 Digital Circuits - Counter and Display Required Components: 2 1k resistors 1 10M resistor 3 0.1 F capacitor 1 555 timer 1 7490 decade counter 1 7447 BCD to decoder 1 MAN 6910 or LTD-482EC

More information

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering BCN1043 By Dr. Mritha Ramalingam Faculty of Computer Systems & Software Engineering mritha@ump.edu.my http://ocw.ump.edu.my/ authors Dr. Mohd Nizam Mohmad Kahar (mnizam@ump.edu.my) Jamaludin Sallim (jamal@ump.edu.my)

More information

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays Today 3/8/ Lecture 8 Sequential Logic, Clocks, and Displays Flip Flops and Ripple Counters One Shots and Timers LED Displays, Decoders, and Drivers Homework XXXX Reading H&H sections on sequential logic

More information

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division Objectives In this lab, you will see two types of sequential circuits: latches and flip-flops. Latches and flip-flops can be used

More information

Part IA Computer Science Tripos. Hardware Practical Classes

Part IA Computer Science Tripos. Hardware Practical Classes Part IA Computer Science Tripos Hardware Practical Classes Year: 2014 2015 Dr. I. J. Wassell, Mr. N. Batterham. 1 2 Digital Hardware Labs - Introduction Many materials are available on which to build prototype

More information

Figure 7.8 Circuit Schematic with Switches, Logic Gate, and Flip-flop

Figure 7.8 Circuit Schematic with Switches, Logic Gate, and Flip-flop 7.5 Laboratory Procedure / Summary Sheet Group: Names: (1) Using the datasheet pin-out diagrams (Figures 7.5 through 7.7), draw a complete and detailed wiring diagram (showing all connections and all pin

More information

A Combined Combinational-Sequential System

A Combined Combinational-Sequential System A Combined Combinational-Sequential System Object To construct a serial transmission circuit with a comparator to check the output. Parts () 7485 4-bit magnitude comparators (1) 74177 4-bit binary counter

More information

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department EE162 Digital Circuit Design Fall 2012 OBJECTIVES: Lab 5: Latches & Flip-Flops The objective of this lab is to examine and understand

More information

6.3 Sequential Circuits (plus a few Combinational)

6.3 Sequential Circuits (plus a few Combinational) 6.3 Sequential Circuits (plus a few Combinational) Logic Gates: Fundamental Building Blocks Introduction to Computer Science Robert Sedgewick and Kevin Wayne Copyright 2005 http://www.cs.princeton.edu/introcs

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter Digital Clock The timing diagram figure 30.1a shows the time interval t 6 to t 11 and t 19 to t 21. At time interval t 9 the units counter counts to 1001 (9) which is the terminal count of the 74x160 decade

More information

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore)

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore) Laboratory 11 Digital Displays and Logic (modified from lab text by Alciatore) Required Components: 2x lk resistors 1x 10M resistor 3x 0.1 F capacitor 1x 555 timer 1x 7490 decade counter 1x 7447 BCD to

More information

EECS 270 Midterm 2 Exam Closed book portion Fall 2014

EECS 270 Midterm 2 Exam Closed book portion Fall 2014 EECS 270 Midterm 2 Exam Closed book portion Fall 2014 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points

More information

COMP sequential logic 1 Jan. 25, 2016

COMP sequential logic 1 Jan. 25, 2016 OMP 273 5 - sequential logic 1 Jan. 25, 2016 Sequential ircuits All of the circuits that I have discussed up to now are combinational digital circuits. For these circuits, each output is a logical combination

More information

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012 1 McGill University Faculty of Engineering ECSE-221B Introduction to Computer Engineering Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012 Examiner: Rola Harmouche Date:

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and Computer Design Fundamentals Chapter 5 Sequential Circuits Part 2 Sequential Circuit Design Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic COURSE TITLE : DIGITAL INSTRUMENTS PRINCIPLE COURSE CODE : 3075 COURSE CATEGORY : B PERIODS/WEEK : 4 PERIODS/SEMESTER : 72 CREDITS : 4 TIME SCHEDULE MODULE TOPICS PERIODS 1 Number system & Boolean algebra

More information

EET 1131 Lab #10 Latches and Flip-Flops

EET 1131 Lab #10 Latches and Flip-Flops Name OBJECTIVES: 1. To study the operation of a D latch. 2. To study the operation of a D flip-flop. 3. To study the operation of a J-K flip-flop. EQUIPMENT REQUIRED: Safety glasses ICs: 7474, 7475, 74LS76

More information

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 Project Overview This project was originally titled Fast Fourier Transform Unit, but due to space and time constraints, the

More information

Integrated Circuits 7

Integrated Circuits 7 7 IC Test Clip Series For temporary connections to DIP package components Heavy-duty spring loaded hinge provides positive contact 20 AWG insulated gold contacts Color: white 22103 Part No. Product No.

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS. Verify Truth table for TTL IC s AND, NOT, & NAND GATES

TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS. Verify Truth table for TTL IC s AND, NOT, & NAND GATES TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS CEE 2800 Basic Logic Gates using TTL IC's (7 in 1) To verify the truth table For TTL AND, OR. NOT, NAND,NOR, EX-OR, & EX-NOR Gates. Instrument comprises

More information

LogicBlocks Experiment Guide a learn.sparkfun.com

LogicBlocks Experiment Guide a learn.sparkfun.com LogicBlocks Experiment Guide a learn.sparkfun.com tutorial Available online at: http://sfe.io/t216 Contents Introduction 1. 2-Input AND Gate 2. 3-Input AND Gate 3. NANDs, NORs, and DeMorgan's Laws 4. Combinational

More information

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator Learning Objectives ECE 206, : Lab 1 Digital Logic This lab will give you practice in building and analyzing digital logic circuits. You will use a logic simulator to implement circuits and see how they

More information

Step 1 - shaft decoder to generate clockwise/anticlockwise signals

Step 1 - shaft decoder to generate clockwise/anticlockwise signals Workshop Two Shaft Position Encoder Introduction Some industrial automation applications require control systems which know the rotational position of a shaft. Similar devices are also used for digital

More information

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division Objectives In this lab, we will see the sequential circuits latches and flip-flops. Latches and flip-flops can be used to build

More information

1. Synopsis: 2. Description of the Circuit:

1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array American Journal of Applied Sciences 10 (5): 466-477, 2013 ISSN: 1546-9239 2013 M.I. Ibrahimy et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.466.477

More information

SIGNETICS INTEGRATED CIRCUITS Low Power Schottky TTL 54LS00-74LS00 Series. Supply Current/typmA Delay/typns Quad 2-Input NAND Gate 54LS00/C,D

SIGNETICS INTEGRATED CIRCUITS Low Power Schottky TTL 54LS00-74LS00 Series. Supply Current/typmA Delay/typns Quad 2-Input NAND Gate 54LS00/C,D SIGNETICS INTEGRATED CIRCUITS Low Power Schottky TTL 54LS00-74LS00 Series Rating Value Unit Voltage - V CC +7.0 V Input Voltage Range - V I -0.5 to +7.0 V Output Voltage - V out +5.5 V Operating Temperature

More information

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual University of Victoria Department of Electrical and Computer Engineering CENG 290 Digital Design I Lab Manual INDEX Introduction to the labs Lab1: Digital Instrumentation Lab2: Basic Digital Components

More information

First Name Last Name November 10, 2009 CS-343 Exam 2

First Name Last Name November 10, 2009 CS-343 Exam 2 CS-343 Exam 2 Instructions: For multiple choice questions, circle the letter of the one best choice unless the question explicitly states that it might have multiple correct answers. There is no penalty

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab CPU design and PLDs Tajana Simunic Rosing Source: Vahid, Katz 1 Lab #3 due Lab #4 CPU design Today: CPU design - lab overview PLDs Updates

More information

DIGITAL CIRCUIT COMBINATORIAL LOGIC

DIGITAL CIRCUIT COMBINATORIAL LOGIC DIGITAL CIRCUIT COMBINATORIAL LOGIC Logic levels: one zero true false high low CMOS logic levels: 1 => 0.7 V DD 0.4 V DD = noise margin 0 =< 0.3 V DD Positive logic: high = 1 = true low = 0 = false Negative

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION Spring 2012 Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1

More information

Where Are We Now? e.g., ADD $S0 $S1 $S2?? Computed by digital circuit. CSCI 402: Computer Architectures. Some basics of Logic Design (Appendix B)

Where Are We Now? e.g., ADD $S0 $S1 $S2?? Computed by digital circuit. CSCI 402: Computer Architectures. Some basics of Logic Design (Appendix B) Where Are We Now? Chapter 1: computer systems overview and computer performance Chapter 2: ISA (machine-spoken language), different formats, and various instructions Chapter 3: We will learn how those

More information

STATIC RANDOM-ACCESS MEMORY

STATIC RANDOM-ACCESS MEMORY STATIC RANDOM-ACCESS MEMORY by VITO KLAUDIO OCTOBER 10, 2015 CSC343 FALL 2015 PROF. IZIDOR GERTNER Table of contents 1. Objective... pg. 2 2. Functionality and Simulations... pg. 4 2.1 SR-LATCH... pg.

More information

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore)

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore) Laboratory 10 Digital Circuits - Logic and Latching (modified from lab text by Alciatore) Required Components: 1x 330 resistor 4x 1k resistor 2x 0.F capacitor 1x 2N3904 small signal transistor 1x LED 1x

More information

Assignment 2b. ASSIGNMENT 2b. due at the start of class, Wednesday Sept 25.

Assignment 2b. ASSIGNMENT 2b. due at the start of class, Wednesday Sept 25. ASSIGNMENT 2b due at the start of class, Wednesday Sept 25. For each section of the assignment, the work that you are supposed to turn in is indicated in italics at the end of each problem or sub-problem.

More information

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2002 4/5/02 Midterm Exam II Name: Solutions ID number:

More information

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm) Switching Circuits & Logic Design, Fall 2011 Final Examination (1/13/2012, 3:30pm~5:20pm) Problem 1: (15 points) Consider a new FF with three inputs, S, R, and T. No more than one of these inputs can be

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true.

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true. EXPERIMENT: 1 DATE: VERIFICATION OF BASIC LOGIC GATES AIM: To verify the truth tables of Basic Logic Gates NOT, OR, AND, NAND, NOR, Ex-OR and Ex-NOR. APPARATUS: mention the required IC numbers, Connecting

More information