11. JTAG Boundary-Scan Testing in Stratix V Devices

Size: px
Start display at page:

Download "11. JTAG Boundary-Scan Testing in Stratix V Devices"

Transcription

1 ecember 2 SV JTAG Boundary-Scan Testing in Stratix V evices SV52-.4 This chapter describes the boundary-scan test (BST) features that are supported in Stratix V devices. Stratix V devices support IEEE Std. 49. and IEEE Std The IEEE Std is only supported on the high-speed serial interface (HSSI) transceivers in Stratix V devices. IEEE Std enables board-level connectivity checking between transmitters and receivers that are AC coupled (connected with a capacitor in series between the source and destination). This chapter includes the following sections: IEEE Std Boundary-Scan Register on page 2 BST Operation Control on page 3 I/O Voltage Support in a JTAG Chain on page 5 Boundary-Scan escription Language Support on page 6 f For more information about the following IEEE Std. 49. BST features, refer to the IEEE 49. (JTAG) Boundary-Scan Testing in Stratix III evices chapter in volume of the Stratix III evice Handbook: IEEE Std. 49. BST architecture and circuitry IEEE Std. 49. boundary-scan register IEEE Std. 49. BST guidelines Test access port (TAP) controller state-machine 2 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARCOPY, MAX, MEGACORE, NIOS, UARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9:28 Registered Stratix V evice Handbook Volume 2: evice Interfaces and Integration ecember 2 Subscribe

2 2 Chapter : JTAG Boundary-Scan Testing in Stratix V evices IEEE Std Boundary-Scan Register IEEE Std Boundary-Scan Register The boundary-scan cells (BSCs) for HSSI transmitters (GXB_TX[p,n]) and receivers/input clock buffer (GXB_RX[p,n])/(REFCLK[p,n]) in Stratix V devices are different from the BSCs for the I/O pins. Figure shows the Stratix V HSSI transmitter BSC. Figure. HSSI Transmitter BSC with IEEE Std BST Circuitry for Stratix V evices BSCAN PMA SOUT BSTX OE AC JTAG Output BSOEB Mission (ATAOUT) TX_BUF_OE noe Tx Output MORHZ OE Logic ACJTAG_BUF_OE MEM_INIT SIN SHIFT CLK UPATE HIGHZ AC_TEST MOE AC_MOE BSTX OE AC JTAG Output Capture Update Registers Figure 2 shows the Stratix V HSSI receiver/input clock buffer BSC. Figure 2. HSSI Receiver/Input Clock BSC with IEEE Std BST Circuitry for Stratix V evices BSCAN PMA SOUT BSRX AC JTAG Test Receiver BSOUT Hysteretic Memory Mission (ATAIN) Optional INTEST/RUNBIST not supported Rx Input BSRX BSOUT Hysteretic Memory AC JTAG Test Receiver HIGHZ SIN SHIFT CLK UPATE AC_TEST MOE MEM_INIT AC_MOE Capture Update Registers Stratix V evice Handbook ecember 2 Altera Corporation Volume 2: evice Interfaces and Integration

3 Chapter : JTAG Boundary-Scan Testing in Stratix V evices 3 BST Operation Control BST Operation Control Table lists the ICOE information for Stratix V devices. Table. 32-Bit ICOE Information for Stratix V evices Preliminary Family Stratix V GX Stratix V GT Stratix V GS Stratix V E evice Version (4 Bits) Part Number (6 Bits) ICOE (32 Bits) () Manufacturer Identity ( Bits) LSB ( Bit) (2) 5SGXA3 5SGXA4 5SGXA5 5SGXA7 5SGXA9 5SGXAB 5SGXB5 5SGXB6 5SGTC5 5SGTC7 5SGS3 5SGS4 5SGS5 5SGS6 5SGS8 5SEE9 5SEEB Notes to Table : () The MSB is on the left. (2) The LSB of the ICOE is always. Table 2 lists the JTAG instructions that are supported by Stratix V devices. Table 2. JTAG Instruction Supported by Stratix V evices (Part of 2) JTAG Instruction Instruction Code escription SAMPLE/PRELOA EXTEST () BYPASS USERCOE Allows a snapshot of signals at the device pins to be captured and examined during normal device operation and permits an initial data pattern to be an output at the device pins. Also used by the SignalTap II Embedded Logic Analyzer. Allows the external circuit and board-level interconnects to be tested by forcing a test pattern at the output pins and capturing test results at the input pins. Places the -bit bypass register between the TI and TO pins, allowing the BST data to pass synchronously through selected devices to adjacent devices during normal device operation. Selects the 32-bit USERCOE register and places it between the TI and TO pins, allowing USERCOE to be serially shifted out of TO. ecember 2 Altera Corporation Stratix V evice Handbook Volume 2: evice Interfaces and Integration

4 4 Chapter : JTAG Boundary-Scan Testing in Stratix V evices BST Operation Control Table 2. JTAG Instruction Supported by Stratix V evices (Part 2 of 2) JTAG Instruction Instruction Code escription ICOE HIGHZ () CLAMP () PULSE_NCONFIG CONFIG_IO LOCK Selects the ICOE register and places it between the TI and TO pins, allowing ICOE to be serially shifted out of TO. ICOE is the default instruction at power up and in the TAP RESET state. Places the -bit bypass register between the TI and TO pins, allowing the BST data to pass synchronously through selected devices to adjacent devices during normal device operation while tri-stating all of the I/O pins. Places the -bit bypass register between the TI and TO pins, allowing the BST data to pass synchronously through selected devices to adjacent devices during normal device operation while holding I/O pins to a state defined by the data in the boundary-scan register. Emulates pulsing the nconfig pin low to trigger reconfiguration even though the physical pin is unaffected. Allows I/O reconfiguration through JTAG ports using IOCSR for JTAG testing. This is executed after or during configurations. The nstatus pin must go high before you can issue the CONFIG_IO instruction. isables the access by JTAG instructions and places the device into JTAG secure mode. UNLOCK Enables access for JTAG instructions that are not disabled by security fuses. KEY_CLR_VREG Clears the non-volatile key. KEY_VERIFY Verifies the non-volatile key has been cleared. EXTEST_PULSE EXTEST_TRAIN Note to Table 2: Enables board-level connectivity checking between the transmitters and receivers that are AC coupled by generating three output transitions: river drives data on the falling edge of TCK in the UPATE_IR/R state. river drives inverted data on the falling edge of TCK after entering the RUN_TEST/ILE state. river drives data on the falling edge of TCK after leaving the RUN_TEST/ILE state. Behaves the same as the EXTEST_PULSE instruction except that the output continues to toggle on the TCK falling edge as long as the TAP controller is in the RUN_TEST/ILE state. () Bus hold and weak pull-up resistor features override the high-impedance state of HIGHZ, CLAMP, and EXTEST. If any of the security fuses is blown, some of the JTAG instructions are disabled. UNLOCK instruction enables the JTAG instructions that are not blocked by these fuses. LOCK instruction disables these JTAG instructions, returning to the JTAG secure state. You can only issue the LOCK or UNLOCK instruction from the JTAG core access. The ICOE instruction is the default instruction when the TAP controller is in the reset state. Without loading any instructions, you can go to the SHIFT_R state and shift out the JTAG device I. Stratix V evice Handbook ecember 2 Altera Corporation Volume 2: evice Interfaces and Integration

5 Chapter : JTAG Boundary-Scan Testing in Stratix V evices 5 I/O Voltage Support in a JTAG Chain If the device is in reset state, when the nconfig or nstatus signal is low, the device ICOE might not be read correctly. To read the device ICOE correctly, you must issue the ICOE JTAG instruction only when the nconfig and nstatus signals are high. IEEE Std mandates the addition of two new instructions EXTEST_PULSE and EXTEST_TRAIN. These two instructions enable edge-detecting behavior on the signal path containing the HSSI pins. These instructions implement new test behaviors for the HSSI pins and simultaneously behave identically to the IEEE Std. 49. EXTEST instruction for non-hssi pins. If you use C coupling on the HSSI signals, execute the EXTEST instruction. If you use AC coupling on the HSSI signals, execute the EXTEST_PULSE instruction. I/O Voltage Support in a JTAG Chain A device operating in BST mode uses four required pins TI, TO, TMS, TCK, and one optional pin, TRST. The TCK pin has an internal weak pull-down resistor, while the TI, TMS, and TRST pins have internal weak pull-up resistors. The TO output pin and all the JTAG input pins are powered by the 2.5-V/3.-V V CCP supply of I/O bank 3A. All user I/O pins are tri-stated during JTAG configuration. The JTAG chain can support several different devices. However, use caution if the chain contains devices that have different V CCIO levels. The output voltage level of the TO pin must meet the specification of the TI pin it drives. Table 3 lists board design recommendations to ensure proper JTAG chain operation. Table 3. Supported TO and TI Voltage Combinations Stratix V evice Non-Stratix V TI Input Power Stratix V TO V CCP V CCP = 3. V () V CCP = 2.5 V (2) V CCP = 3. V v v V CCP = 2.5 V v v V CC = 3.3 V v (3) v (4) V CC = 2.5 V v (3) v (4) V CC =.8 V v (3) v (4) V CC =.5 V v (3) v (4) Notes to Table 3: () The TO output buffer meets V OH (MIN) = 2.4 V. (2) The TO output buffer meets V OH (MIN) = 2. V. (3) Input buffer must be 3.-V tolerant. (4) Input buffer must be 2.5-V tolerant. ecember 2 Altera Corporation Stratix V evice Handbook Volume 2: evice Interfaces and Integration

6 6 Chapter : JTAG Boundary-Scan Testing in Stratix V evices Boundary-Scan escription Language Support Boundary-Scan escription Language Support The boundary-scan description language (BSL), a subset of VHL, provides a syntax that allows you to describe the features of an IEEE Std BST-capable device that can be tested. You can test software development systems, then use the BSL files for test generation, analysis, and failure diagnostics. f f For more information about BSL files for IEEE Std compliant Stratix V devices, refer to the IEEE 49.6 BSL Files page on the Altera website. You can also generate BSL files (pre-configuration and post-configuration) for IEEE Std compliant Stratix V devices with the uartus II software version. SP and later. For more information about the procedure to generate BSL files using the uartus II software, refer to the BSL Files Generation in II page on the Altera website. ocument Revision History Table 4. ocument Revision History Table 4 lists the revision history for this chapter. ate Version Changes ecember 2.4 Updated Table 2 to include KEY_CLR_VREG and KEY_VERIFY JTAG instructions. November 2.3 Updated Table and Table 2. May 2.2 Chapter moved to volume 2 for the. release. Updated Table. ecember 2. No changes to the content of this chapter for the uartus II software.. July 2. Initial release. Stratix V evice Handbook ecember 2 Altera Corporation Volume 2: evice Interfaces and Integration

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family December 2011 CIII51014-2.3 12. IEEE 1149.1 (JTAG) Boundary-Scan Testing for the Cyclone III Device Family CIII51014-2.3 This chapter provides guidelines on using the IEEE Std. 1149.1 boundary-scan test

More information

3. Configuration and Testing

3. Configuration and Testing 3. Configuration and Testing C51003-1.4 IEEE Std. 1149.1 (JTAG) Boundary Scan Support All Cyclone devices provide JTAG BST circuitry that complies with the IEEE Std. 1149.1a-1990 specification. JTAG boundary-scan

More information

JTAG Boundary- ScanTesting

JTAG Boundary- ScanTesting JTAG Boundary- ScanTesting In Altera evices November 995, ver. 3 Application Note 39 Introduction As printed circuit boards (PCBs) become more complex, the need for thorough testing becomes increasingly

More information

IEEE Standard (JTAG) in the Axcelerator Family

IEEE Standard (JTAG) in the Axcelerator Family Application Note AC27 IEEE Standard 49. (JTAG) in the Axcelerator Family Introduction Testing modern loaded circuit boards has become extremely expensive and very difficult to perform. The rapid development

More information

Implementing Audio IP in SDI II on Arria V Development Board

Implementing Audio IP in SDI II on Arria V Development Board Implementing Audio IP in SDI II on Arria V Development Board AN-697 Subscribe This document describes a reference design that uses the Audio Embed, Audio Extract, Clocked Audio Input and Clocked Audio

More information

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family December 2011 CIII51002-2.3 2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family CIII51002-2.3 This chapter contains feature definitions for logic elements (LEs) and logic array blocks

More information

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Using the XC9500/XL/XV JTAG Boundary Scan Interface Application Note: XC95/XL/XV Family XAPP69 (v3.) December, 22 R Using the XC95/XL/XV JTAG Boundary Scan Interface Summary This application note explains the XC95 /XL/XV Boundary Scan interface and demonstrates

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Section 24. Programming and Diagnostics

Section 24. Programming and Diagnostics Section. and Diagnostics HIGHLIGHTS This section of the manual contains the following topics:.1 Introduction... -2.2 In-Circuit Serial... -2.3 Enhanced In-Circuit Serial... -5.4 JTAG Boundary Scan... -6.5

More information

Section 24. Programming and Diagnostics

Section 24. Programming and Diagnostics Section. Programming and Diagnostics HIGHLIGHTS This section of the manual contains the following topics:.1 Introduction... -2.2 In-Circuit Serial Programming... -3.3 Enhanced In-Circuit Serial Programming...

More information

MAX 10 FPGA Configuration User Guide

MAX 10 FPGA Configuration User Guide MAX 10 FPGA Configuration User Guide UG-M10CONFIG 2017.07.20 Subscribe Send Feedback Contents Contents 1 MAX 10 FPGA Configuration Overview... 4 2 MAX 10 FPGA Configuration Schemes and Features... 5 2.1

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Boundary Scan (JTAG ) 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Boundary Scan (JTAG ) 2 CMOS INTEGRATE CIRCUIT EGN TECHNIUES University of Ioannina Boundary Scan Testing (JTAG ΙΕΕΕ 49 std) ept of Computer Science and Engineering Y Tsiatouhas CMOS Integrated Circuit esign Techniques VL Systems

More information

Serial Digital Interface Reference Design for Stratix IV Devices

Serial Digital Interface Reference Design for Stratix IV Devices Serial Digital Interface Reference Design for Stratix IV Devices AN-600-1.2 Application Note The Serial Digital Interface (SDI) reference design shows how you can transmit and receive video data using

More information

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report 2015.12.18 Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report AN-749 Subscribe The Altera JESD204B IP core is a high-speed point-to-point serial interface intellectual property (IP). The JESD204B

More information

Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report 2015.11.02 Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report AN-753 Subscribe The Altera JESD204B IP Core is a high-speed point-to-point serial interface intellectual property (IP). The JESD204B

More information

SignalTap Analysis in the Quartus II Software Version 2.0

SignalTap Analysis in the Quartus II Software Version 2.0 SignalTap Analysis in the Quartus II Software Version 2.0 September 2002, ver. 2.1 Application Note 175 Introduction As design complexity for programmable logic devices (PLDs) increases, traditional methods

More information

In-System Programmability Guidelines

In-System Programmability Guidelines In-System Programmability Guidelines May 1999, ver. 3 Application Note 100 Introduction As time-to-market pressures increase, design engineers require advanced system-level products to ensure problem-free

More information

Serial Digital Interface II Reference Design for Stratix V Devices

Serial Digital Interface II Reference Design for Stratix V Devices Serial Digital Interface II Reference Design for Stratix V Devices AN-673 Application Note This document describes the Altera Serial Digital Interface (SDI) II reference design that demonstrates how you

More information

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs Using IEEE 49. Boundary Scan (JTAG) With Cypress Ultra37 CPLDs Introduction As Printed Circuit Boards (PCBs) have become multi-layered with double-sided component mounting and Integrated Circuits have

More information

Chapter 19 IEEE Test Access Port (JTAG)

Chapter 19 IEEE Test Access Port (JTAG) Chapter 9 IEEE 49. Test Access Port (JTAG) This chapter describes configuration and operation of the MCF537 JTAG test implementation. It describes the use of JTAG instructions and provides information

More information

BSDL Validation: A Case Study

BSDL Validation: A Case Study ASSET InterTech, Inc. Validation: A Case Study Michael R. Johnson Sr. Applications Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting December 15, 2008 About The Presenter Michael

More information

Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report 2015.06.25 Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report AN-JESD204B-AV Subscribe The Altera JESD204B IP core is a high-speed point-to-point serial interface intellectual property (IP).

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents SDI Audio IP Cores Overview...1-1

More information

Product Update. JTAG Issues and the Use of RT54SX Devices

Product Update. JTAG Issues and the Use of RT54SX Devices Product Update Revision Date: September 2, 999 JTAG Issues and the Use of RT54SX Devices BACKGROUND The attached paper authored by Richard B. Katz of NASA GSFC and J. J. Wang of Actel describes anomalies

More information

Configuring FLASHlogic Devices

Configuring FLASHlogic Devices Configuring FLASHlogic s April 995, ver. Application Note 45 Introduction The Altera FLASHlogic family of programmable logic devices (PLDs) is based on CMOS technology with SRAM configuration elements.

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies 8 Nov 25 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

Overview of BDM nc. The IEEE JTAG specification is also recommended reading for those unfamiliar with JTAG. 1.2 Overview of BDM Before the intr

Overview of BDM nc. The IEEE JTAG specification is also recommended reading for those unfamiliar with JTAG. 1.2 Overview of BDM Before the intr Application Note AN2387/D Rev. 0, 11/2002 MPC8xx Using BDM and JTAG Robert McEwan NCSD Applications East Kilbride, Scotland As the technical complexity of microprocessors has increased, so too has the

More information

TMS320C6000: Board Design for JTAG

TMS320C6000: Board Design for JTAG Application Report SPRA584C - April 2002 320C6000: Board Design for JTAG David Bell Scott Chen Digital Signal Processing Solutions ABSTRACT Designing a 320C6000 DSP board to utilize all of the functionality

More information

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies 6 Dec 24 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs Introduction White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs In broadcasting production and delivery systems, digital video data is transported using one of two serial

More information

Comparing JTAG, SPI, and I2C

Comparing JTAG, SPI, and I2C Comparing JTAG, SPI, and I2C Application by Russell Hanabusa 1. Introduction This paper discusses three popular serial buses: JTAG, SPI, and I2C. A typical electronic product today will have one or more

More information

AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices

AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA JESD204B

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Last updated for Altera Complete Design Suite: 14.0 Subscribe UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 SDI Audio IP Cores User Guide Contents

More information

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0. Entry Level Tool II Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 1.0.3 Date : October 7, 2005 Copyright 2005-2006,, Inc. (SLS) All

More information

7 Nov 2017 Testing and programming PCBA s

7 Nov 2017 Testing and programming PCBA s 7 Nov 207 Testing and programming PCBA s Rob Staals JTAG Technologies Email: robstaals@jtag.com JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before

More information

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on

More information

BTW03 DESIGN CONSIDERATIONS IN USING AS A BACKPLANE TEST BUS International Test Conference. Pete Collins

BTW03 DESIGN CONSIDERATIONS IN USING AS A BACKPLANE TEST BUS International Test Conference. Pete Collins 2003 International Test Conference DESIGN CONSIDERATIONS IN USING 1149.1 AS A BACKPLANE TEST BUS Pete Collins petec@jtag.co.uk JTAG TECHNOLOGIES BTW03 PURPOSE The purpose of this presentation is to discuss

More information

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies Ilmenau, 9 Dec 206 Testing and programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge

More information

SAU510-USB ISO PLUS v.2 JTAG Emulator. User s Guide 2013.

SAU510-USB ISO PLUS v.2 JTAG Emulator. User s Guide 2013. User s Guide 2013. Revision 1.00 JUL 2013 Contents Contents...2 1. Introduction to...4 1.1 Overview of...4 1.2 Key Features of...4 1.3 Key Items of...5 2. Plugging...6 2.1. Equipment required...6 2.2.

More information

the Boundary Scan perspective

the Boundary Scan perspective the Boundary Scan perspective Rik Doorneweert, JTAG Technologies rik@jtag.com www.jtag.com Subjects Economics of testing Test methods and strategy Boundary scan at: Component level Board level System level

More information

Intel FPGA SDI II IP Core User Guide

Intel FPGA SDI II IP Core User Guide Intel FPGA SDI II IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA SDI II IP Core Quick

More information

9. Synopsys PrimeTime Support

9. Synopsys PrimeTime Support 9. Synopsys PrimeTime Support December 2010 QII53005-10.0.1 QII53005-10.0.1 PrimeTime is the Synopsys stand-alone full chip, gate-level static timing analyzer. The Quartus II software makes it easy for

More information

Interfacing the TLC5510 Analog-to-Digital Converter to the

Interfacing the TLC5510 Analog-to-Digital Converter to the Application Brief SLAA070 - April 2000 Interfacing the TLC5510 Analog-to-Digital Converter to the TMS320C203 DSP Perry Miller Mixed Signal Products ABSTRACT This application report is a summary of the

More information

Altera's 28-nm FPGAs Optimized for Broadcast Video Applications

Altera's 28-nm FPGAs Optimized for Broadcast Video Applications Altera's 28-nm FPGAs Optimized for Broadcast Video Applications WP-01163-1.0 White Paper This paper describes how Altera s 40-nm and 28-nm FPGAs are tailored to help deliver highly-integrated, HD studio

More information

SN74ABT18502 SCAN TEST DEVICE WITH 18-BIT REGISTERED BUS TRANSCEIVER

SN74ABT18502 SCAN TEST DEVICE WITH 18-BIT REGISTERED BUS TRANSCEIVER Member of the Texas Instruments Widebus Family UBT Transceiver Combines D-Type Latches and D-Type Flip-Flops for Operation in Transparent, Latched, or Clocked Mode Compatible With IEEE Std 1149.1-1990

More information

JRC ( JTAG Route Controller ) Data Sheet

JRC ( JTAG Route Controller ) Data Sheet JRC ( JTAG Route Controller ) Data Sheet ATLAS TGC Electronics Group September 5, 2002 (version 1.1) Author : Takashi Takemoto Feature * JTAG signal router with two inputs and seven outputs. * Routing

More information

SDI II MegaCore Function User Guide

SDI II MegaCore Function User Guide SDI II MegaCore Function SDI II MegaCore Function 1 Innovation Drive San Jose, CA 95134 www.altera.com UG-01125-1.0 Document last updated for Altera Complete Design Suite version: Document publication

More information

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics - Introduction to JTAG / boundary scan-based testing for 3D integrated systems (C) 2011 - GOEPEL Electronics - www.goepelusa.com Who is GOEPEL? World Headquarters: GÖPEL electronic GmbH Göschwitzer Straße

More information

Single Channel LVDS Tx

Single Channel LVDS Tx April 2013 Introduction Reference esign R1162 Low Voltage ifferential Signaling (LVS) is an electrical signaling system that can run at very high speeds over inexpensive twisted-pair copper cables. It

More information

Tools to Debug Dead Boards

Tools to Debug Dead Boards Tools to Debug Dead Boards Hardware Prototype Bring-up Ryan Jones Senior Application Engineer Corelis 1 Boundary-Scan Without Boundaries click to start the show Webinar Outline What is a Dead Board? Prototype

More information

Serial Digital Interface Demonstration for Stratix II GX Devices

Serial Digital Interface Demonstration for Stratix II GX Devices Serial Digital Interace Demonstration or Stratix II GX Devices May 2007, version 3.3 Application Note 339 Introduction The serial digital interace (SDI) demonstration or the Stratix II GX video development

More information

UltraLogic 128-Macrocell ISR CPLD

UltraLogic 128-Macrocell ISR CPLD 256 PRELIMINARY Features 128 macrocells in eight logic blocks In-System Reprogrammable (ISR ) JTAG-compliant on-board programming Design changes don t cause pinout changes Design changes don t cause timing

More information

JTAG Test Controller

JTAG Test Controller Description JTAG Test Controller The device provides an interface between the 60x bus on the Motorola MPC8260 processor and two totally independent IEEE1149.1 interfaces, namely, the primary and secondary

More information

SµMMIT E & LXE/DXE JTAG Testability for the SJ02 Die

SµMMIT E & LXE/DXE JTAG Testability for the SJ02 Die UTMC Application Note SµMMIT E & LXE/DXE JTAG Testability for the SJ02 Die JTAG Instructions: JTAG defines seven (7) public instructions as follows: Instruction Status UTMC Code msb..lsb SµMMIT Status

More information

Using SignalTap II in the Quartus II Software

Using SignalTap II in the Quartus II Software White Paper Using SignalTap II in the Quartus II Software Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.1, helps reduce verification

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 1.0 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

SN54273, SN54LS273, SN74273, SN74LS273 OCTAL D-TYPE FLIP-FLOP WITH CLEAR

SN54273, SN54LS273, SN74273, SN74LS273 OCTAL D-TYPE FLIP-FLOP WITH CLEAR OCTAL D-TYPE FLIP-FLOP WITH CLEA SDLS090 OCTOBE 9 EVISED MACH 9 Contains Eight Flip-Flops With Single-ail Outputs Buffered Clock and Direct Clear Inputs Individual Data Input to Each Flip-Flop Applications

More information

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification Supersedes data of 1997 Apr 28 IC27 Data Handbook.

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification Supersedes data of 1997 Apr 28 IC27 Data Handbook. INTEGRATED CIRCUITS Supersedes data of 1997 Apr 28 IC27 Data Handbook 1997 Aug 12 FEATURES Industry s first TotalCMOS PLD both CMOS design and process technologies Fast Zero Power (FZP ) design technique

More information

University of Arizona January 18, 2000 Joel Steinberg Rev. 1.6

University of Arizona January 18, 2000 Joel Steinberg Rev. 1.6 I/O Specification for Serial Receiver Daughter Board (PCB-0140-RCV) (Revised January 18, 2000) 1.0 Introduction The Serial Receiver Daughter Board accepts an 8b/10b encoded serial data stream, operating

More information

DP8212 DP8212M 8-Bit Input Output Port

DP8212 DP8212M 8-Bit Input Output Port DP8212 DP8212M 8-Bit Input Output Port General Description The DP8212 DP8212M is an 8-bit input output port contained in a standard 24-pin dual-in-line package The device which is fabricated using Schottky

More information

Concurrent Programming through the JTAG Interface for MAX Devices

Concurrent Programming through the JTAG Interface for MAX Devices Concurrent through the JTAG Interface for MAX Devices February 1998, ver. 2 Product Information Bulletin 26 Introduction Concurrent vs. Sequential In a high-volume printed circuit board (PCB) manufacturing

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite August 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

Document Part Number: Copyright 2010, Corelis Inc.

Document Part Number: Copyright 2010, Corelis Inc. CORELIS Low Voltage Adapter Low Voltage Adapter Boundary-Scan Interface User s Manual Document Part Number: 70398 Copyright 2010, Corelis Inc. Corelis, Inc. 12607 Hiddencreek Way Cerritos, CA 90703-2146

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

LMH0344 3Gbps HD/SD SDI Adaptive Cable Equalizer

LMH0344 3Gbps HD/SD SDI Adaptive Cable Equalizer 3Gbps HD/SD SDI Adaptive Cable Equalizer General Description The 3Gbps HD/SD SDI Adaptive Cable Equalizer is designed to equalize data transmitted over cable (or any media with similar dispersive loss

More information

Device 1 Device 2 Device 3 Device 4

Device 1 Device 2 Device 3 Device 4 APPLICATION NOTE 0 The Tagalyzer - A JTAG Boundary Scan Debug Tool XAPP 103 March 1, 2007 (Version 1.1) 0 3* Application Note Summary The Tagalyzer is a diagnostic tool that helps debug long JTAG boundary

More information

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1 Debugging of Verilog Hardware Designs on Altera s DE-Series Boards For Quartus Prime 15.1 1 Introduction This tutorial presents some basic debugging concepts that can be helpful in creating Verilog designs

More information

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU Version: 1.0 Date: December 14, 2004 Designed and Developed By: System Level Solutions,

More information

MBI5050 Application Note

MBI5050 Application Note MBI5050 Application Note Foreword In contrast to the conventional LED driver which uses an external PWM signal, MBI5050 uses the embedded PWM signal to control grayscale output and LED current, which makes

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

ADC0804C, ADC BIT ANALOG-TO-DIGITAL CONVERTERS WITH DIFFERENTIAL INPUTS

ADC0804C, ADC BIT ANALOG-TO-DIGITAL CONVERTERS WITH DIFFERENTIAL INPUTS 8-Bit esolution atiometric Conversion 100-µs Conversion Time 135-ns Access Time No Zero Adjust equirement On-Chip Clock Generator Single 5-V Power Supply Operates With Microprocessor or as Stand-Alone

More information

8. Stratix GX Built-In Self Test (BIST)

8. Stratix GX Built-In Self Test (BIST) 8. Stratix GX Built-In Self Test (BIST) SGX52008-1.1 Introduction Each Stratix GX channel in the gigabit transceiver block contains embedded built-in self test (BIST) circuitry, which is available for

More information

LAX_x Logic Analyzer

LAX_x Logic Analyzer Legacy documentation LAX_x Logic Analyzer Summary This core reference describes how to place and use a Logic Analyzer instrument in an FPGA design. Core Reference CR0103 (v2.0) March 17, 2008 The LAX_x

More information

The ASI demonstration uses the Altera ASI MegaCore function and the Cyclone video demonstration board.

The ASI demonstration uses the Altera ASI MegaCore function and the Cyclone video demonstration board. April 2006, version 2.0 Application Note Introduction A digital video broadcast asynchronous serial interace (DVB-) is a serial data transmission protocol that transports MPEG-2 packets over copper-based

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

SµMMIT E & LXE/DXE Built-In-Self-Test Functionality for the JA01 Die

SµMMIT E & LXE/DXE Built-In-Self-Test Functionality for the JA01 Die UTMC Application Note SµMMIT E & LXE/DXE Built-In-Self-Test Functionality for the JA01 Die JTAG Instructions: JTAG defines seven (7) public instructions as follows: Instruction Status UTMC Code msb..lsb

More information

PZ5128C/PZ5128N 128 macrocell CPLD with enhanced clocking

PZ5128C/PZ5128N 128 macrocell CPLD with enhanced clocking INTEGRATED CIRCUITS 128 macrocell CPLD with enhanced clocking Supersedes data of 1998 Apr 30 IC27 Data Handbook 1998 Jul 23 FEATURES Industry s first TotalCMOS PLD both CMOS design and process technologies

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...4 4. User Guide...4 4.1.

More information

Remote Diagnostics and Upgrades

Remote Diagnostics and Upgrades Remote Diagnostics and Upgrades Tim Pender -Eastman Kodak Company 10/03/03 About this Presentation Motivation for Remote Diagnostics Reduce Field Maintenance costs Product needed to support 100 JTAG chains

More information

HCS08 SG Family Background Debug Mode Entry

HCS08 SG Family Background Debug Mode Entry Freescale Semiconductor Application Note Document Number: AN3762 Rev. 0, 08/2008 HCS08 SG Family Background Debug Mode Entry by: Carl Hu Sr. Field Applications Engineer Kokomo, IN, USA 1 Introduction The

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

Debugging of VHDL Hardware Designs on Altera s DE2 Boards

Debugging of VHDL Hardware Designs on Altera s DE2 Boards Debugging of VHDL Hardware Designs on Altera s DE2 Boards This tutorial presents some basic debugging concepts that can be helpful in creating VHDL designs for implementation on Altera s DE2 boards. It

More information

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features 6.25 Gbps multi-rate, multi-lane, SerDes macro IP Data brief Txdata1_in Tx1_clk Bist1 Rxdata1_out Rx1_clk Txdata2_in Tx2_clk Bist2 Rxdata2_out Rx2_clk Txdata3_in Tx3_clk Bist3 Rxdata3_out Rx3_clk Txdata4_in

More information

Module for Lab #16: Basic Memory Devices

Module for Lab #16: Basic Memory Devices Module for Lab #16: Basic Memory evices evision: November 14, 2004 LAB Overview This lab introduces the concept of electronic memory. Memory circuits store the voltage present on an input signal (LHV or

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Raspberry Pi debugging with JTAG

Raspberry Pi debugging with JTAG Arseny Kurnikov Aalto University December 13, 2013 Outline JTAG JTAG on RPi Linux kernel debugging JTAG Joint Test Action Group is a standard for a generic transport interface for integrated circuits.

More information

UltraLogic 128-Macrocell Flash CPLD

UltraLogic 128-Macrocell Flash CPLD fax id: 6139 CY7C374i Features UltraLogic 128-Macrocell Flash CPLD Functional Description 128 macrocells in eight logic blocks 64 pins 5 dedicated inputs including 4 clock pins In-System Reprogrammable

More information

ECE 372 Microcontroller Design

ECE 372 Microcontroller Design E.g. Port A, Port B Used to interface with many devices Switches LEDs LCD Keypads Relays Stepper Motors Interface with digital IO requires us to connect the devices correctly and write code to interface

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

AN 696: Using the JESD204B MegaCore Function in Arria V Devices

AN 696: Using the JESD204B MegaCore Function in Arria V Devices AN 696: Using the JESD204B MegaCore Function in Arria V Devices Subscribe The JESD204B standard provides a serial data link interface between converters and FPGAs. The JESD204B MegaCore function intellectual

More information

Subjects. o JTAG Technologies (Rik Doorneweert, Area Manager) o JTAG Technologies B.V. activities o Introduction to (classic) Boundary Scan

Subjects. o JTAG Technologies (Rik Doorneweert, Area Manager) o JTAG Technologies B.V. activities o Introduction to (classic) Boundary Scan Subjects o JTAG Technologies (Rik Doorneweert, Area Manager) o JTAG Technologies B.V. activities o Introduction to (classic) Boundary Scan o Grass Valley Breda(Camera division) (Khaled Sarsam, Test Automation

More information

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver EM MICROELECTRONIC - MARIN SA 2, 4 and 8 Mutiplex LCD Driver Description The is a universal low multiplex LCD driver. The version 2 drives two ways multiplex (two blackplanes) LCD, the version 4, four

More information

Chenguang Guo, Lei Chen, and Yanlong Zhang

Chenguang Guo, Lei Chen, and Yanlong Zhang International Journal of Electronics and Electrical Engineering 6 22 Chenguang Guo, Lei Chen, and Yanlong Zhang Abstract This paper describes a novel optimized JTAG interface circuit between a JTAG controller

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Chapter 11 Latches and Flip-Flops

Chapter 11 Latches and Flip-Flops Chapter 11 Latches and Flip-Flops SKEE1223 igital Electronics Mun im/arif/izam FKE, Universiti Teknologi Malaysia ecember 8, 2015 Types of Logic Circuits Combinational logic: Output depends solely on the

More information

APPLICATION NOTE. XCR5128C: 128 Macrocell CPLD with Enhanced Clocking. Features. Description

APPLICATION NOTE. XCR5128C: 128 Macrocell CPLD with Enhanced Clocking. Features. Description APPLICATION NOTE 0 XC5128C: 128 Macrocell CPLD with Enhanced Clocking DS042 (v1.1) February 10, 2000 0 14* Product Specification Features Industry's first TotalCMOS PLD - both CMOS design and process technologies

More information