Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for active CCDN

Size: px
Start display at page:

Download "Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for active CCDN"

Transcription

1 0... FEC encoding process The {EPoC_PMD_Name} encodes the transmitted using a systematic Low-Density Parity-Check (LDPC) (F C, F P ) code. A LDPC encoder encodes F P information bits into a codeword c = ( i 0,... i FP p FP... p FC ) by adding F R parity bits Hc T = 0 obtained so that where H is an F R F C binary matrix containing mostly 0 and relatively few, called low-density paritycheck matrix. (see [] and []). The detailed description of such parity check matrices is given in 0... {to be included in informative references: [] R. G. Gallager, Low density parity check codes, IRE Trans. Inform. Theory, vol. IT-, pp., Jan..; [] T. Richardson and R. Urbanke, Modern Coding Theory," Cambridge University Press, 00} The CLT {EPoC_PMD_Name} PCS operating on active CCDN shall encode the transmitted using one of the LDPC (FC, FP) codes per Table 0, as selected using register TBD. The CNU {EPoC_PMD_Name} PCS operating on active CCDN shall encode the transmitted using one of the LDPC (FC, FP) codes per Table 0, as selected using register TBD. Table 0 LDCP codes used by the CLT {EPoC_PMD_Name} PCS for active CCDN Codeword F C [bits] p Fp...p FC Payload F P [bits] Annex 0A gives an example of LDPC (F C, F P ) FEC encoding. {we will need to select one of the codes from the family of codes we use in either downstream or upstream and then generate examples} 0... LDPC matrix definition Parity F R [bits] -bit blocks B Q Payload Padding bits B P -bit blocks C Q i 0...i FP Parity Parity bits in last block C PL Padding bits C P The low-density parity check matrix H for LDPC (F C, F P ) encoder can be divided into blocks of L submatrices. Its compact circulant form is represented by an m n block matrix: where the submatrix H i,j is an L L all-zero submatrix or a cyclic right-shifted identity submatrix. The last n m sub-matrix columns represent the parity portion of the matrix. Moreover, nl = F C, ml = F P and the code rate is (n m)/n = (F C F P )/F C. In this specification, the sub-matrix size L is called the lifting factor

2 Table 0 LDCP codes used by the CLT {EPoC_PMD_Name} PCS for active CCDN Codeword F C [bits] Payload F P [bits] Parity F R [bits] -bit blocks B Q Payload Padding bits B P -bit blocks C Q Parity Parity bits in last block C PL Padding bits C P In this specification, the sub-matrix H i,j is represented by a value in {-, 0,, L-}, where a -' value represents an all-zero submatrix, and the remaining values represent an L by L identity submatrix cyclically rightshifted by the specified value. Such representation of the parity-check matrix is called a base matrix. {The following matrices were extracted from as updated. This material with technical changes has not been yet adopted as baseline proposal.} Table 0 a through Table 0 c present a base matrix of the low-density parity-check matrix H for LDPC (00, 00) code listed in TABLE 0- for downstream and TABLE 0- for upstream, respectively. The lifting factor of the matrix is L=0. Row H = H H H H H H H H H Table 0 a LDPC (00, 00) code matrix, columns - Column... H n... H n... H n H m H m H m... H m n

3 Row Table 0 b LDPC (00, 00) code matrix, columns -0 Column Row Table 0 c LDPC (00, 00) code matrix, columns - Column Table 0 a through Table 0 c present a base matrix of the low-density parity-check matrix H for LDPC (0, ) code listed in TABLE 0- for upstream. The lifting factor of the matrix is L=0. Table 0 a LDPC (0, ) code matrix, columns - Row Column Table 0 a and Table 0 c present a 0 base matrix of the low-density parity-check matrix H for LDPC (0, ) code listed in TABLE 0- for upstream. The lifting factor of the matrix is L=

4 Table 0 b LDPC (0, ) code matrix, columns - Row Column Table 0 c LDPC (0, ) code matrix, columns - Row Column Table 0 a LDPC (0, ) code matrix, columns -0 Row Column LDPC encoding process within CLT (downstream) The process of padding FEC codewords and appending FEC parity octets in the {EPoC_PMD_Name} CLT transmitter is illustrated in Figure 0. The B/B encoder produces a stream of -bit blocks, which are then delivered to the FEC encoder. The FEC encoder accumulates B Q (see Table 0-) of these -bit blocks to form the payload of a FEC codeword, removing the redundant first bit (i.e., sync header bit <0>) in each -bit block received from the B/B encoder. The first bit <0> of the sync header in the -bit block in the transmit direction is guaranteed to be the complement of the second bit <> of the sync header see... for more details

5 Table 0 b LDPC (0, ) code matrix, columns -0 Row Column Next, the FEC encoder calculates CRC over the aggregated B Q -bit blocks, placing the resulting bits of CRC code prepended with one bit truncated sync header (with the binary value of ) immediately after the B Q -bit blocks, forming the payload of the FEC codeword. Finally, the FEC encoder prepends B P (see Table 0 ) padding bits (with the binary value of 0 ) to the payload of the FEC codeword as shown in Figure 0. This is then LDPC-encoded, resulting in the F R bits of parity. The first bits of parity are inserted into the -bit block carrying CRC code, complementing it. The remaining F R- bits of parity is then divided into C Q -bit blocks, each of which is then prepended with one bit sync header <> with the value of binary. The last -bit block of the parity contains C PL bits of parity, and the remaining C P bits are filled with padding (binary 0 )

6 TXD<0> sync header 0 first XGMII transfer Input for FEC encoder (F P bits) FEC parity Figure 0 PCS Transmit bit ordering within CLT (downstream) 0... LDPC codeword transmission order within CLT (downstream) TXD<> D0 D D D D D D D B/B Encoder C0 C C C C C C C C0 C C C C C C C -bit block -bit block -bit block FEC payload Aggregate B Q B-blocks & Calculate CRC -bit block B Q LDPC Encoder B W padding bits Once the process of calculating FEC parity is complete, the payload portion of the FEC codeword and the parity portion of the FEC codeword are then transferred towards the PMA across the PMA service interface, one -bit block at a time. Note that the B P padding bits used to generate the FEC codeword are not transmitted across the PMA service interface. The C P padding bits in the last parity codeword (block number C Q ) are transmitted to PMA, where they are the discarded prior to encoding into OFDM medium. TXD<0> PMA -bit block B Q- -bit block CRC parity second XGMII transfer -bit block B Q -bit block parity CRC TXD<> -bit block C Q parity pad CPL CP

7 0... LDPC encoding process within CNU (upstream) {the upstream FEC encoding for CNU will be described when we have a consistent proposal on how to mix three different FEC codes into a single transmission slot} 0... LDPC codeword transmission order within CNU (upstream) {the content of this subclause ought to be quite similar with the content of 0...} 0... State diagrams 0... Constants B Q C P C Q F P F R VALUE: see Table 0 for downstream FEC, Table 0 for upstream FEC This constant represents the number of -bit blocks within the payload portion of the FEC codeword. VALUE: see Table 0 for downstream FEC, Table 0 for upstream FEC This constant represents the number of padding bits within the last -bit block of the parity portion of the FEC codeword. VALUE: see Table 0 for downstream FEC, Table 0 for upstream FEC This constant represents the number of -bit blocks within the parity portion of the FEC codeword. VALUE: see Table 0 for downstream FEC, Table 0 for upstream FEC This constant represents the number of bits within the payload portion of the FEC codeword. VALUE: see Table 0 for downstream FEC, Table 0 for upstream FEC This constant represents the number of bits within the parity portion of the FEC codeword Variables blockcount TYPE: -bit unsigned integer This variable represents the number of blocks (either -bit or -bit blocks, depending on the state diagram). CLK TYPE: Boolean This Boolean is true on every negative edge of TX_CLK (see..) and represents instances of time at which a -bit block is passed from the output of the B/B encoder into the FEC encoder. This variable is reset to false upon read. Payload<F P -:0> TYPE: Bit array This array represents the payload portion of the FEC codeword, accounting for the necessary padding. It is initialized to the size of F P bits and filled with the binary value of 0. Parity<F R -+C P :0> TYPE: Bit array 0 0 0

8 This array represents the parity portion of the FEC codeword, accounting for the necessary padding. It is initialized to the size of F R + C P bits and filled with the binary value of 0. FIFO_FEC TYPE: Array of -bit blocks A FIFO array used to store tx_coded<:> blocks, inserted by the input process in the FEC encoder, while FEC parity is sent out towards PMA. loc TYPE: -bit unsigned integer This variable represents the position within the bit array, indicating how much is stored within the given bit array. rx_coded_in<:0> TYPE: -bit block This -bit block contains the input of the FEC decoder being received from PMA. The leftmost bit is rx_coded_out<0> and the right-most bit is rx_coded_out<>. sizefifo TYPE: -bit unsigned integer This variable represents the number of -bit blocks stored in FIFO_FEC. tx_coded<:0> TYPE: -bit block This -bit block contains B/B encoded. The format for this block is shown in Figure. The left-most bit in the figure is tx_coded<0> and the right-most bit is tx_coded<>. tx_coded_out<:0> TYPE: -bit block This -bit block contains the output of the FEC encoder being passed into PMA. The leftmost bit is tx_coded_out<0> and the right-most bit is tx_coded_out<> Functions calculatecrc ( ARRAY_IN ) This function calculates CRC for included in ARRAY_IN. calculateparity( ARRAY_IN ) This function calculates LDPC parity (for the code per Figure 0 or Figure 0 ) for included in ARRAY_IN. resetarray( ARRAY_IN ) This function resets the content of ARRAY_IN, setting all the elements in this array to the binary value of 0. removefifohead( FIFO_IN ) This function removes the first block in FIFO_IN and decrements the variable sizefifo by. removefifohead( FIFO_IN ) { FIFO_IN[0] = FIFO_IN[] FIFO_IN[] = FIFO_IN[]... FIFO_IN[sizeFifo-] = FIFO_IN[sizeFifo-] sizefifo -- } 0 0 0

9 0... Messages TBD 0... State diagrams The CLT PCS shall implement the LDPC encoding process, comprising the input process as shown in Figure 0 and the output process as shown in Figure 0. The CNU PCS shall implement the LDPC encoding process, comprising the input process as shown in Figure 0 and the output process as shown in Figure 0. In case of any discrepancy between state diagrams and the descriptive text, the state diagrams prevail. BEGIN WAIT_FOR_BLOCK AGGREGATE_BLOCK tx_coded<:0> * (tx_coded<:0> = SH_DATA + tx_coded<:0> = SH_CTRL) FIFO_FEC[sizeFifo] tx_coded<:> sizefifo ++ UCT INIT sizefifo 0 Figure 0 FEC encoder, input process state diagram 0 0 0

10 CLK * blockcount < BQ 0... FEC decoding process BEGIN RESET loc 0 blockcount 0 resetarray( Payload ) resetarray( Parity ) Figure 0 FEC encoder, output process state diagram (CLT) The {EPoC_PMD_Name} decodes the received using LDPC (F C, F P ) code. The CLT {EPoC_PMD_Name} PCS operating on active CCDN shall decode the received using one of the LDPC (F C, F P ) codes per Table 0, as selected using register TBD. The CNU {EPoC_PMD_Name} PCS oper- UCT CLK * blockcount = B Q Payload<loc+:loc> calculatecrc( Payload<loc-:0> ) Parity calculateparity( Payload ) tx_coded_out<:0> Payload<loc+:loc> tx_coded_out<:> Parity<:0> loc blockcount 0 CLK * blockcount < CQ INIT AGGREGATE_B Q _BLOCKS Payload<loc+:loc> FIFO_FEC_TX[0] tx_coded_out<:0> FIFO_FEC_TX[0] removefifohead( FIFO_FEC_TX ) loc += blockcount ++ CALCULATE_CRC_AND_PARITY SEND_PARITY CLK CLK tx_coded_out<:0> Parity<loc+:loc> tx_coded_out<:> loc += blockcount ++ CLK * blockcount = C Q 0 0 0

11 ating on active CCDN shall decode the received using one of the LDPC (F C, F P ) codes per Table 0, as selected using register TBD. Annex 0B gives an example of LDPC (F C, F P ) FEC decoding. {we will need to select one of the codes from the family of codes we use in either downstream or upstream and then generate examples} 0... LDPC decoding process within CLT (upstream) {the upstream FEC decoding for CLT will be described when we have a consistent proposal on how to mix three different FEC codes into a single transmission slot} 0... LDPC decoding process within CNU (downstream) The process of decoding FEC codewords in the {EPoC_PMD_Name} CNU receiver is illustrated in Figure 0-. {FEC codeword alignment needs to be tackled somewhere between the PMA and the bottom of the PCS we had some proposals on how to find FEC codeword lock in the downstream, but I am not sure we baselined anything with sufficient level of detail to actually put it into the draft} Once the alignment to FEC codeword is found, the {EPoC_PMD_Name} CNU receiver aggregates the total of B Q + + C Q -bit blocks received from the PMA, forming the FEC payload (blocks number to B Q, and bits <0> through <> from the following -bit block) and the FEC parity (bits <> through <> from the -bit block following payload portion of the FEC codeword and followed by blocks number to 0 0 0

12 C Q ) portions of the codeword. Note that the CP padding bits in the last parity codeword (block number C Q ) are locally generated within the PMA and transmitted to the PCS. TXD<0> sync header B W padding bits 0 first XGMII transfer TXD<> D0 D D D D D D D B/B Decoder C0 C C C C C C C C0 C C C C C C C B W padding bits -bit block -bit block -bit block FEC payload Verify CRC & Extract B Q B-blocks Input for FEC decoder (F P bits) -bit block B Q LDPC Decoder FEC parity Figure 0 PCS Transmit bit ordering within CLT (downstream) Next, each -bit block in the FEC parity portion of the codeword (blocks through C Q ) is stripped from the sync header by removing bit <>. Furthermore, the last -bit block of the FEC parity (block number C Q ) is truncated, removing bits <C PL > <>, forming a single FEC parity portion of the codeword with size F R (in bits). Then the FEC payload portion of the codeword is prepended with B P padding bits (with the binary value of 0 ) and subsequently fed into the FEC decoder for processing together with the stripped FEC parity portion of the codeword. TXD<0> -bit block CRC parity PMA second XGMII transfer -bit block B Q- -bit block parity -bit block B Q CRC TXD<> -bit block C Q parity pad CPL CP 0 0 0

13 The FEC decoder produces the FEC payload portion of the codeword with the size of F P (in bits), where bits <0> <B P -> contain padding (with the binary value of 0 ). Next, the CRC is calculated over the remaining blocks through B Q and then compared with the value of CRC retrieved from the received FEC codeword. If both CRC codes match, the decoded frame does not contain any detectable errors and it is treated as error-free. Otherwise, the decoded frame contains detected errors. The behavior of the FEC decoder in the presence of CRC code failure depends on status of the user-configurable option to indicate an uncorrectable FEC codeword. Finally, the FEC decoder prepends each of the B Q -bit blocks with bit <0> of the sync header containing the binary inverse of the value carried in bit <> of the sync header, producing -bit blocks. This also guarantees that properly decoded blocks meet the requirements of... The FEC decoder in the CNU shall provide a user-configurable option to indicate an uncorrectable FEC codeword (due to an excess of symbols containing errors) to higher layers. If this user-configurable option is enabled and the calculated value of CRC does not match the value of CRC retrieved from the received FEC codeword, the FEC decoder replaces bit <0> and <> in the sync headers in all B Q blocks with the binary value of 00. If this user-configurable option is disabled, the FEC decoder does not make any further changes to the sync headers in all B Q blocks. Each resulting -bit block is then fed into the B/B decoder, removing the sync header information (bit <0> and bit <>), which is used to generate control signaling for the XGMII. Finally, the resulting -bit block is then separated into two -bit portions, which are transmitted across the XGMII on two consecutive transfers, with the proper control signaling retrieved from the sync header information retrieved in the B/B decoder State diagrams 0... Constants B Q see 0... B W VALUE: see Table 0 for downstream FEC, Table 0 for upstream FEC This constant represents the number of padding bits within the payload portion of the FEC codeword. C Q see 0... IDLE TYPE: -bit vector This constant represents /I/ character with B/B encoding, as defined in Variables blockcount see 0... CLK see 0... Parity<:0> TYPE: Bit array This array represents the CRC. It is initialized to the size of bits and filled with the binary value of

14 In<F C +C P -:0> TYPE: Bit array This array represents the combination of the payload portion of the FEC codeword, the parity portion of the FEC codeword, CRC, and all the necessary padding. It is initialized to the size of F C +C P bits and filled with the binary value of 0. Out<F P -:0> TYPE: Bit array This array represents the combination of the payload portion of the FEC codeword, CRC, and all the necessary padding. It is initialized to the size of F P bits and filled with the binary value of 0. FIFO_FEC_RX TYPE: Array of -bit blocks A FIFO array used to store tx_coded<:0> blocks, inserted by the input process in the FEC decoder, while encoded is then sent to B/B decoder for processing and transmission towards the XGMII. loc see 0... rx_coded_in<:0> TYPE: -bit block This -bit block contains the input into the FEC decoder being passed from PMA. The leftmost bit is rx_coded_in<0> and the right-most bit is rx_coded_in<>. sizefifo syncfec see 0... TYPE: Boolean This variable indicates whether the FEC codeword alignment was found (value equal to true) or not (value equal to false). tx_coded<:0> see Functions calculatecrc ( ARRAY_IN ) see 0... decodefec( ARRAY_IN ) This function performs FEC decoding (for the code per Figure 0 or Figure 0 ) for included in ARRAY_IN. resetarray( ARRAY_IN ) see Messages TBD 0... State diagrams The CNU PCS shall implement the LDPC decoding process, comprising the input process as shown in Figure 0 and the output process as shown in Figure

15 In case of any discrepancy between state diagrams and the descriptive text, the state diagrams prevail. blockcount < BQ CLK * blockcount < (BQ+CQ+) BEGIN loc B W blockcount 0 resetarray( In ) resetarray( Out ) Out decodefec( In<F C+C P:0> ) Crc calculatecrc( Out<F P-:B W> ) loc 0 blockcount 0 Crc!= In<F P-:F P-> DECODE_FAIL tx_coded<:0> tx_coded<:> Out<B W+loc+:B W+loc+> FIFO_FEC_RX[sizeFifo] tx_coded<:0> sizefifo ++ loc += blockcount ++ decodefailure ++ sizefec 0 CLK * syncfec = true INIT RESET Figure 0 FEC decoder, input process state diagram (CNU) UCT AGGREGATE_B Q _C Q _BLOCKS In<loc+:loc> rx_coded_in<:0> loc += blockcount ++ DECODE_CALCULATE_CRC blockcount = B Q CLK * blockcount = (B Q+C Q+) Crc = In<F P-:F P-> DECODE_SUCCESS tx_coded<0:0>!out<b W+loc:B W+loc> tx_coded<:> Out<B W+loc+:B W+loc> FIFO_FEC_RX[sizeFifo] tx_coded<:0> sizefifo ++ loc += blockcount ++ blockcount = B Q blockcount < BQ 0 0 0

16 CLK * sizefec = 0 SEND_OUT_BLOCK tx_coded<:0> IDLE UCT BEGIN INIT WAIT_FOR_BLOCK UCT CLK * sizefec!= 0 SEND_OUT_BLOCK tx_coded<:0> FIFO_FEC_RX[0] removefifohead( FIFO_FEC_RX ) UCT Figure 0 FEC decoder, output process state diagram (CNU)

Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for amplified CCDN

Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for amplified CCDN ... FEC encodingencode and Data Detector processes (FDD) The {EPoC_PMD_Name} encodes the transmitted data using a systematic Low-Density Parity-Check (LDPC) (F C, F P ) code. A LDPC encoder encodes F P

More information

Programmable Pattern Generator For 10GBASE-R/W. Jonathan Thatcher. World Wide Packets

Programmable Pattern Generator For 10GBASE-R/W. Jonathan Thatcher. World Wide Packets Programmable Pattern Generator For 10GBASE-R/W Jonathan Thatcher World Wide Packets Motivation n Motivation: provide a simple to implement, programmable pattern generator. n Rationale: it is not clear

More information

Error performance objective for 400GbE

Error performance objective for 400GbE Error performance objective for 400GbE Pete Anslow, Ciena IEEE 400 Gb/s Ethernet Study Group, York, September 2013 1 Introduction The error performance objective adopted for the P802.3ba, P802.3bj and

More information

Data Rate to Line Rate Conversion. Glen Kramer (Broadcom Ltd)

Data Rate to Line Rate Conversion. Glen Kramer (Broadcom Ltd) Data Rate to Line Rate Conversion Glen Kramer (Broadcom Ltd) Motivation 100G EPON MAC data rate is 25 Gb/s 25GMII transmits 32 bits @ 390.625 MHz (on both rising and falling edges) 64b/66b encoder adds

More information

Error performance objective for 25 GbE

Error performance objective for 25 GbE Error performance objective for 25 GbE Pete Anslow, Ciena IEEE 25 Gb/s Ethernet Study Group, Ottawa, Canada, September 2014 1 History The error performance objective adopted for the P802.3ba, P802.3bj

More information

REPORT/GATE FORMAT. Ed Boyd, Xingtera Supporters: Duane Remein, Huawei

REPORT/GATE FORMAT. Ed Boyd, Xingtera Supporters: Duane Remein, Huawei REPORT/GATE FORMAT Ed Boyd, Xingtera Supporters: Duane Remein, Huawei 1 Overview EPON defines a physical layer for 1Gbps and 10Gbps. EPoC requires more granularity and flexibility to adapt to limited spectrum

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

/10/$ IEEE ICME /10/$ IEEE 504

/10/$ IEEE ICME /10/$ IEEE 504 LDPC FEC CODE EXENSION FOR UNEQUAL ERROR PROECION IN 2ND GENERAION DVB SYSEMS Lukasz Kondrad, Imed Bouazizi 2, Moncef Gabbouj ampere University of echnology, ampere, Finland 2 Nokia Research Center, ampere,

More information

Improving Frame FEC Efficiency. Improving Frame FEC Efficiency. Using Frame Bursts. Lior Khermosh, Passave. Ariel Maislos, Passave

Improving Frame FEC Efficiency. Improving Frame FEC Efficiency. Using Frame Bursts. Lior Khermosh, Passave. Ariel Maislos, Passave Improving Frame FEC Efficiency Improving Frame FEC Efficiency Using Frame Bursts Ariel Maislos, Passave Lior Khermosh, Passave Motivation: Efficiency Improvement Motivation: Efficiency Improvement F-FEC

More information

10GE WAN PHY: Physical Medium Attachment (PMA)

10GE WAN PHY: Physical Medium Attachment (PMA) 10GE WAN PHY: Physical Medium Attachment (PMA) IEEE 802.3 Meeting, Albuquerque March 6-10, 2000 Norival Figueira, Paul Bottorff, David Martin, Tim Armstrong, Bijan Raahemi.. Enrique Hernandez-Valencia..

More information

HOLITA HDLC Core: Datasheet

HOLITA HDLC Core: Datasheet HOLITA HDLC Core: Datasheet Version 1.0, July 2012 8-bit Parallel to Serial Shift 8-bit Serial to Parallel Shift HDLC Core FSC16/32 Generation Zero Insert Transmit Control FSC16/32 Check Zero Deletion

More information

Transmission scheme for GEPOF

Transmission scheme for GEPOF Transmission scheme for GE Rubén Pérez-Aranda (rubenpda@kdpof.com) Agenda Motivation and objectives Transmission scheme: overview Transmission scheme: pilot sequences Transmission scheme: physical header

More information

10 Mb/s Single Twisted Pair Ethernet Proposed PCS Layer for Long Reach PHY Dirk Ziegelmeier Steffen Graber Pepperl+Fuchs

10 Mb/s Single Twisted Pair Ethernet Proposed PCS Layer for Long Reach PHY Dirk Ziegelmeier Steffen Graber Pepperl+Fuchs 10 Mb/s Single Twisted Pair Ethernet Proposed PCS Layer for Long Reach PHY Dirk Ziegelmeier Steffen Graber Pepperl+Fuchs IEEE P802.3cg 10 Mb/s Single Twisted Pair Ethernet Task Force 8/29/2017 1 Content

More information

LPI SIGNALING ACROSS CLAUSE 108 RS-FEC

LPI SIGNALING ACROSS CLAUSE 108 RS-FEC March 2015 P802.3by 25 Gb/s Ethernet Task Force 1 LPI SIGNALING ACROSS CLAUSE 108 RS-FEC Adee Ran March 2015 P802.3by 25 Gb/s Ethernet Task Force 2 Background LPI original functions TX informs the RX that

More information

IEEE 100BASE-T1 Physical Coding Sublayer Test Suite

IEEE 100BASE-T1 Physical Coding Sublayer Test Suite IEEE 100BASE-T1 Physical Coding Sublayer Test Suite Version 1.1 Author & Company Curtis Donahue, UNH-IOL Stephen Johnson, UNH-IOL Title IEEE 100BASE-T1 Physical Coding Sublayer Test Suite Version 1.1 Date

More information

GPRS Measurements in TEMS Products. Technical Paper

GPRS Measurements in TEMS Products. Technical Paper GPRS Measurements in TEMS Products Technical Paper GPRS Measurements in TEMS Products Technical Paper 2005-7-19 Ericsson TEMS AB 2005 All rights reserved. No part of this document may be reproduced in

More information

G.709 FEC testing Guaranteeing correct FEC behavior

G.709 FEC testing Guaranteeing correct FEC behavior Technical Note G.709 FEC testing Guaranteeing correct FEC behavior Capabilities and Benefits Techniques in Detail Example The ONT-503/506/5 optical network tester from JDSU which delivers in-depth analysis

More information

Backplane NRZ FEC Baseline Proposal

Backplane NRZ FEC Baseline Proposal Backplane NRZ FEC Baseline Proposal IEEE P802.3bj March 2012 Hawaii Stephen Bates PMC-Sierra, Matt Brown APM, Roy Cideciyan IBM, Mark Gustlin Xilinx, Adam Healey - LSI, Martin Langhammer - Altera, Jeff

More information

P802.3av interim, Shanghai, PRC

P802.3av interim, Shanghai, PRC P802.3av interim, Shanghai, PRC 08 09.06.2009 Overview of 10G-EPON compiled by Marek Hajduczenia marek.hajduczenia@zte.com.cn Rev 1.2 P802.3av interim, Shanghai, PRC 08 09.06.2009 IEEE P802.3av 10G-EPON

More information

Modified Generalized Integrated Interleaved Codes for Local Erasure Recovery

Modified Generalized Integrated Interleaved Codes for Local Erasure Recovery Modified Generalized Integrated Interleaved Codes for Local Erasure Recovery Xinmiao Zhang Dept. of Electrical and Computer Engineering The Ohio State University Outline Traditional failure recovery schemes

More information

Implementation of CRC and Viterbi algorithm on FPGA

Implementation of CRC and Viterbi algorithm on FPGA Implementation of CRC and Viterbi algorithm on FPGA S. V. Viraktamath 1, Akshata Kotihal 2, Girish V. Attimarad 3 1 Faculty, 2 Student, Dept of ECE, SDMCET, Dharwad, 3 HOD Department of E&CE, Dayanand

More information

The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem.

The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem. State Reduction The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem. State-reduction algorithms are concerned with procedures for reducing the

More information

Commsonic. Satellite FEC Decoder CMS0077. Contact information

Commsonic. Satellite FEC Decoder CMS0077. Contact information Satellite FEC Decoder CMS0077 Fully compliant with ETSI EN-302307-1 / -2. The IP core accepts demodulated digital IQ inputs and is designed to interface directly with the CMS0059 DVB-S2 / DVB-S2X Demodulator

More information

for Television ---- Formatting AES/EBU Audio and Auxiliary Data into Digital Video Ancillary Data Space

for Television ---- Formatting AES/EBU Audio and Auxiliary Data into Digital Video Ancillary Data Space SMPTE STANDARD ANSI/SMPTE 272M-1994 for Television ---- Formatting AES/EBU Audio and Auxiliary Data into Digital Video Ancillary Data Space 1 Scope 1.1 This standard defines the mapping of AES digital

More information

COM-7002 TURBO CODE ERROR CORRECTION ENCODER / DECODER

COM-7002 TURBO CODE ERROR CORRECTION ENCODER / DECODER TURBO CODE ERROR CORRECTION ENCODER / DECODER Key Features Full duplex turbo code encoder / decoder. Rate: 0.25 to 0.97. Block length: 64 bits to 4 Kbits. Speed up to 11.7 Mbps. Automatic frame synchronization.

More information

(51) Int Cl.: H04L 1/00 ( )

(51) Int Cl.: H04L 1/00 ( ) (19) TEPZZ Z4 497A_T (11) EP 3 043 497 A1 (12) EUROPEAN PATENT APPLICATION published in accordance with Art. 153(4) EPC (43) Date of publication: 13.07.2016 Bulletin 2016/28 (21) Application number: 14842584.6

More information

EEE ALERT signal for 100GBASE-KP4

EEE ALERT signal for 100GBASE-KP4 EEE ALERT signal for 100GBASE-KP4 Matt Brown, AppliedMicro Bart Zeydel, AppliedMicro Adee Ran, Intel Kent Lusted, Intel (Regarding Comments 39 and 10234) 1 Supporters Brad Booth, Dell Rich Mellitz, Intel

More information

Optimum Frame Synchronization for Preamble-less Packet Transmission of Turbo Codes

Optimum Frame Synchronization for Preamble-less Packet Transmission of Turbo Codes ! Optimum Frame Synchronization for Preamble-less Packet Transmission of Turbo Codes Jian Sun and Matthew C. Valenti Wireless Communications Research Laboratory Lane Dept. of Comp. Sci. & Elect. Eng. West

More information

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder JTulasi, TVenkata Lakshmi & MKamaraju Department of Electronics and Communication Engineering, Gudlavalleru Engineering College,

More information

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs Introduction White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs In broadcasting production and delivery systems, digital video data is transported using one of two serial

More information

0 0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 1 1 Stop bits. 11-bit Serial Data format

0 0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 1 1 Stop bits. 11-bit Serial Data format Applications of Shift Registers The major application of a shift register is to convert between parallel and serial data. Shift registers are also used as keyboard encoders. The two applications of the

More information

Commsonic. ISDB-S3 Modulator CMS0070. Contact information

Commsonic. ISDB-S3 Modulator CMS0070. Contact information ISDB-S3 Modulator CMS0070 Fully compliant with ARIB STD-B44. Variable sample-rate interpolation provides ultra-flexible clocking strategy BPSK, QPSK, 8-PSK, 16-APSK and 32-APSK supported. Integrated LDPC

More information

COSC3213W04 Exercise Set 2 - Solutions

COSC3213W04 Exercise Set 2 - Solutions COSC313W04 Exercise Set - Solutions Encoding 1. Encode the bit-pattern 1010000101 using the following digital encoding schemes. Be sure to write down any assumptions you need to make: a. NRZ-I Need to

More information

Section 6.8 Synthesis of Sequential Logic Page 1 of 8

Section 6.8 Synthesis of Sequential Logic Page 1 of 8 Section 6.8 Synthesis of Sequential Logic Page of 8 6.8 Synthesis of Sequential Logic Steps:. Given a description (usually in words), develop the state diagram. 2. Convert the state diagram to a next-state

More information

Point-to-Point Links

Point-to-Point Links Outline Chapter 2: Direct Link Networks Encoding Framing Point-to-Point Links Error Detection Sliding Window Algorithm 30-Jan-02 Computer Networks 1 Direct Link Networks 30-Jan-02 Computer Networks 2 Direct

More information

Viterbi Decoder User Guide

Viterbi Decoder User Guide V 1.0.0, Jan. 16, 2012 Convolutional codes are widely adopted in wireless communication systems for forward error correction. Creonic offers you an open source Viterbi decoder with AXI4-Stream interface,

More information

Arbitrary Waveform Generator

Arbitrary Waveform Generator 1 Arbitrary Waveform Generator Client: Agilent Technologies Client Representatives: Art Lizotte, John Michael O Brien Team: Matt Buland, Luke Dunekacke, Drew Koelling 2 Client Description: Agilent Technologies

More information

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers.

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. Digital computer is a digital system that performs various computational tasks. The word DIGITAL

More information

FAULT SECURE ENCODER AND DECODER WITH CLOCK GATING

FAULT SECURE ENCODER AND DECODER WITH CLOCK GATING FAULT SECURE ENCODER AND DECODER WITH CLOCK GATING N.Kapileswar 1 and P.Vijaya Santhi 2 Dept.of ECE,NRI Engineering College, Pothavarapadu,,,INDIA 1 nvkapil@gmail.com, 2 santhipalepu@gmail.com Abstract:

More information

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 V Priya 1 M Parimaladevi 2 1 Master of Engineering 2 Assistant Professor 1,2 Department

More information

Using Manchester and NRZ Configurable Protocol Decoders

Using Manchester and NRZ Configurable Protocol Decoders Using Manchester and NRZ Configurable Protocol Decoders TECHNICAL BRIEF March 14, 2013 Summary Manchester and NRZ encoding schemes serve as building blocks for industrystandard and custom protocols. Here

More information

IN A SERIAL-LINK data transmission system, a data clock

IN A SERIAL-LINK data transmission system, a data clock IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 9, SEPTEMBER 2006 827 DC-Balance Low-Jitter Transmission Code for 4-PAM Signaling Hsiao-Yun Chen, Chih-Hsien Lin, and Shyh-Jye

More information

BLOCK CODING & DECODING

BLOCK CODING & DECODING BLOCK CODING & DECODING PREPARATION... 60 block coding... 60 PCM encoded data format...60 block code format...61 block code select...62 typical usage... 63 block decoding... 63 EXPERIMENT... 64 encoding...

More information

10 Gigabit Ethernet Consortium 10GBASE-X PCS Test Suite version 1.3b

10 Gigabit Ethernet Consortium 10GBASE-X PCS Test Suite version 1.3b 10 Gigabit Ethernet Consortium 10GBASE-X PCS Test Suite version 1.3b UNH-IOL 121 Technology Drive, Suite 2 Durham, NH 03824 +1-603-862-0090 Consortium Manager: Bob Noseworthy ren@iol.unh.edu +1-603-862-4342

More information

802.3bj FEC Overview and Status IEEE P802.3bm

802.3bj FEC Overview and Status IEEE P802.3bm 802.3bj FEC Overview and Status IEEE P802.3bm September 2012 Geneva John D Ambrosia Dell Mark Gustlin Xilinx Pete Anslow Ciena Agenda Status of P802.3bj FEC Review of the RS-FEC architecture How the FEC

More information

10G EPON 1G EPON Coexistence

10G EPON 1G EPON Coexistence 10G EPON 1G EPON Coexistence Glen Kramer, Teknovus Frank Effenberger, Huawei Howard Frazier, Broadcom Marek Hajduczenia, Siemens Ketan Gadkari, Alloptic Frank Chang, Vitesse 1 Goal and Proposal Goal 1.

More information

Data Storage and Manipulation

Data Storage and Manipulation Data Storage and Manipulation Data Storage Bits and Their Storage: Gates and Flip-Flops, Other Storage Techniques, Hexadecimal notation Main Memory: Memory Organization, Measuring Memory Capacity Mass

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 05 February 23, 2012 Dohn Bowden 1 Today s Lecture Analysis of Clocked Sequential Circuits Chapter 13 2 Course Admin 3 Administrative Admin

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

IEEE 802.3ca Channel Bonding And Skew Remediation

IEEE 802.3ca Channel Bonding And Skew Remediation Joint IEEE 802 and ITU-T Study Group 15 workshop Building Tomorrow s Networks Geneva, Switzerland, 27 January 2018 IEEE 802.3ca Channel Bonding And Skew Remediation Glen Kramer, Broadcom Multi-channel

More information

INTERNATIONAL TELECOMMUNICATION UNION

INTERNATIONAL TELECOMMUNICATION UNION INTERNATIONAL TELECOMMUNICATION UNION ITU-T G.975 TELECOMMUNICATION STANDARDIZATION SECTOR OF ITU (10/2000) SERIES G: TRANSMISSION SYSTEMS AND MEDIA, DIGITAL SYSTEMS AND NETWORKS Digital sections and digital

More information

cs281: Introduction to Computer Systems Lab07 - Sequential Circuits II: Ant Brain

cs281: Introduction to Computer Systems Lab07 - Sequential Circuits II: Ant Brain cs281: Introduction to Computer Systems Lab07 - Sequential Circuits II: Ant Brain 1 Problem Statement Obtain the file ant.tar from the class webpage. After you untar this file in an empty directory, you

More information

Training & EEE Baseline Proposal

Training & EEE Baseline Proposal Training & EEE Baseline Proposal IEEE 802.3bp - Plenary Meeting - November 2014 William Lo, Zhenyu Liu, Marvell 1 Baseline Proposal Adopt training and EEE framework in this presentation as baseline Based

More information

Physical Layer Signaling for the Next Generation Mobile TV Standard DVB-NGH

Physical Layer Signaling for the Next Generation Mobile TV Standard DVB-NGH Physical Layer Signaling for the Next Generation Mobile TV Standard DVB-NGH Author: José Mª Llorca Beltrán Director: David Gómez Barquero Tutor: Narcís Cardona Marcet Start Date: 1/04/2010 Workplace: Mobile

More information

Motion Video Compression

Motion Video Compression 7 Motion Video Compression 7.1 Motion video Motion video contains massive amounts of redundant information. This is because each image has redundant information and also because there are very few changes

More information

FEC IN 32GFC AND 128GFC. Scott Kipp, Anil Mehta June v0

FEC IN 32GFC AND 128GFC. Scott Kipp, Anil Mehta June v0 FEC IN 32GFC AND 128GFC Scott Kipp, Anil Mehta skipp@brocade.com June 2013 13-216v0 1 FEC For Lower Cost and Longer Reach Forward Error Correction (FEC) began to be used in Backplane Ethernet and has proliferated

More information

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING Rec. ITU-R BT.111-2 1 RECOMMENDATION ITU-R BT.111-2 * WIDE-SCREEN SIGNALLING FOR BROADCASTING (Signalling for wide-screen and other enhanced television parameters) (Question ITU-R 42/11) Rec. ITU-R BT.111-2

More information

DVB-T2 modulator design supporting multiple PLP and auxiliary streams

DVB-T2 modulator design supporting multiple PLP and auxiliary streams > BMSB-2010 - mm2010-86 < 1 DVB-T2 modulator design supporting multiple PLP and auxiliary streams Correia S., Vélez M., Prieto G., Eizmendi I., Berjon-Eriz G., Fernández C., Ordiales J.L. Abstract This

More information

BER MEASUREMENT IN THE NOISY CHANNEL

BER MEASUREMENT IN THE NOISY CHANNEL BER MEASUREMENT IN THE NOISY CHANNEL PREPARATION... 2 overview... 2 the basic system... 3 a more detailed description... 4 theoretical predictions... 5 EXPERIMENT... 6 the ERROR COUNTING UTILITIES module...

More information

FIBRE CHANNEL CONSORTIUM

FIBRE CHANNEL CONSORTIUM FIBRE CHANNEL CONSORTIUM FC-PI-2 Clause 6 Optical Physical Layer Test Suite Version 0.51 Technical Document Last Updated: August 15, 2005 Fibre Channel Consortium Durham, NH 03824 Phone: +1-603-862-0701

More information

COMP 249 Advanced Distributed Systems Multimedia Networking. Video Compression Standards

COMP 249 Advanced Distributed Systems Multimedia Networking. Video Compression Standards COMP 9 Advanced Distributed Systems Multimedia Networking Video Compression Standards Kevin Jeffay Department of Computer Science University of North Carolina at Chapel Hill jeffay@cs.unc.edu September,

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

Troubleshooting and Analyzing Digital Video Signals with CaptureVu

Troubleshooting and Analyzing Digital Video Signals with CaptureVu Troubleshooting and Analyzing Digital Video Signals with CaptureVu Digital video systems provide and maintain the quality of the image throughout the transmission path. However when digital video problems

More information

Commsonic. DVB-S2 Modulator CMS0025. Contact information

Commsonic. DVB-S2 Modulator CMS0025. Contact information DVB-S2 Modulator CMS0025 Fully compliant with ETSI EN 302 307-1 and ETSI EN 302 307-2. Variable sample-rate interpolation provides ultra-flexible clocking strategy Support for CCM, VCM and ACM modes. Compatible

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

10GBASE-KR Start-Up Protocol

10GBASE-KR Start-Up Protocol 10GBASE-KR Start-Up Protocol 1 Supporters Luke Chang, Intel Justin Gaither, Xilinx Ilango Ganga, Intel Andre Szczepanek, TI Pat Thaler, Agilent Rob Brink, Agere Systems Scope and Purpose This presentation

More information

AVTP Pro Video Formats. Oct 22, 2012 Rob Silfvast, Avid

AVTP Pro Video Formats. Oct 22, 2012 Rob Silfvast, Avid AVTP Pro Video Formats Oct 22, 2012 Rob Silfvast, Avid Collaboration effort among notable players is actively underway Rob Silfvast, Avid (Audio System architect, AVB instigator) Damian Denault, Avid (Director

More information

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015 Q.2 a. Draw and explain the V-I characteristics (forward and reverse biasing) of a pn junction. (8) Please refer Page No 14-17 I.J.Nagrath Electronic Devices and Circuits 5th Edition. b. Draw and explain

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

Title: Lucent Technologies TDMA Half Rate Speech Codec

Title: Lucent Technologies TDMA Half Rate Speech Codec UWCC.GTF.HRP..0.._ Title: Lucent Technologies TDMA Half Rate Speech Codec Source: Michael D. Turner Nageen Himayat James P. Seymour Andrea M. Tonello Lucent Technologies Lucent Technologies Lucent Technologies

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Sector Processor to Detector Dependent Unit Interface

Sector Processor to Detector Dependent Unit Interface Sector Processor to Detector Dependent Unit Interface Petersburg Nuclear Physics Institute / University of Florida Version 1.1 October 18, 2001 Introduction The Sector Processor (SP) reconstructs tracks

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

GAISLER. CCSDS Telemetry and Telecommand CCSDS TM / TC FPGA Data Sheet and User s Manual

GAISLER. CCSDS Telemetry and Telecommand CCSDS TM / TC FPGA Data Sheet and User s Manual Features CCSDS/ECSS compatible Telemetry Encoder and Telecommand Decoder Telemetry encoder implements in hardware protocol sub-layer, synchronization & channel coding sub-layer, and part of physical layer

More information

6.111 Project Proposal IMPLEMENTATION. Lyne Petse Szu-Po Wang Wenting Zheng

6.111 Project Proposal IMPLEMENTATION. Lyne Petse Szu-Po Wang Wenting Zheng 6.111 Project Proposal Lyne Petse Szu-Po Wang Wenting Zheng Overview: Technology in the biomedical field has been advancing rapidly in the recent years, giving rise to a great deal of efficient, personalized

More information

Latest Trends in Worldwide Digital Terrestrial Broadcasting and Application to the Next Generation Broadcast Television Physical Layer

Latest Trends in Worldwide Digital Terrestrial Broadcasting and Application to the Next Generation Broadcast Television Physical Layer Latest Trends in Worldwide Digital Terrestrial Broadcasting and Application to the Next Generation Broadcast Television Physical Layer Lachlan Michael, Makiko Kan, Nabil Muhammad, Hosein Asjadi, and Luke

More information

Task 4_B. Decoder for DCF-77 Radio Clock Receiver

Task 4_B. Decoder for DCF-77 Radio Clock Receiver Embedded Processor Lab (EIT-EMS-546-L-4) Task 4_B FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Task 4_B Decoder for DCF-77

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

Proposed SMPTE Standard SMPTE 425M-2005 SMPTE STANDARD- 3Gb/s Signal/Data Serial Interface Source Image Format Mapping.

Proposed SMPTE Standard SMPTE 425M-2005 SMPTE STANDARD- 3Gb/s Signal/Data Serial Interface Source Image Format Mapping. Proposed SMPTE Standard Date: TP Rev 0 SMPTE 425M-2005 SMPTE Technology Committee N 26 on File Management and Networking Technology SMPTE STANDARD- 3Gb/s Signal/Data Serial Interface Source

More information

R3B Si TRACKER CABLE TEST REPORT

R3B Si TRACKER CABLE TEST REPORT R3B Si TRACKER CABLE TEST REPORT Author: Mos Kogimtzis Date: 22/05/2012 Department: NPG, Technology Project: R3B Si Tracker Detector Customer: Internal 1. Scope The aim of the test described below is to

More information

for File Format for Digital Moving- Picture Exchange (DPX)

for File Format for Digital Moving- Picture Exchange (DPX) SMPTE STANDARD ANSI/SMPTE 268M-1994 for File Format for Digital Moving- Picture Exchange (DPX) Page 1 of 14 pages 1 Scope 1.1 This standard defines a file format for the exchange of digital moving pictures

More information

DS1, T1 and E1 Glossary

DS1, T1 and E1 Glossary DS1, T1 and E1 Glossary Document ID: 25540 Contents Introduction Prerequisites Requirements Components Used Conventions T1/E1 Terms Error Events Performance Defects Performance Parameters Failure States

More information

High-bandwidth Digital Conte nt Protection System. Revision 1.0

High-bandwidth Digital Conte nt Protection System. Revision 1.0 High-bandwidth Digital Conte nt Protection System Revision 1.0 17 February 2000 Notice THIS DOCUMENT IS PROVIDED "AS IS" WITH NO WARRANTIES WHATSOEVER, INCLUDING ANY WARRANTY OF MERCHANTABILITY, NONINFRINGEMENT,

More information

A Look at Some Scrambling Techniques U sed in Various Data Transport Protocols

A Look at Some Scrambling Techniques U sed in Various Data Transport Protocols Nov 1993 DOC: IEEE PB02.11-93/216 IEEE 802.11 Wireless Access Methods and Physical Layer Specifications TITLE: DATE: AUTHOR: A Look at Some Scrambling Techniques U sed in Various Data Transport Protocols

More information

COMP sequential logic 1 Jan. 25, 2016

COMP sequential logic 1 Jan. 25, 2016 OMP 273 5 - sequential logic 1 Jan. 25, 2016 Sequential ircuits All of the circuits that I have discussed up to now are combinational digital circuits. For these circuits, each output is a logical combination

More information

PMD & MDIO. Jan 11, Irvine, CA. Jonathan Thatcher, Clay Hudgins, IEEE 802.3ae. 10 Gigabit Ethernet

PMD & MDIO. Jan 11, Irvine, CA. Jonathan Thatcher, Clay Hudgins, IEEE 802.3ae. 10 Gigabit Ethernet PMD & MDIO Jan 11, 2001 Irvine, CA, jonathan@wwp.com Clay Hudgins, clay_hudgins@emcore.com 6 Nov 2000 Page 1 Agenda Block Diagram Signal Definitions (functions) Required VS Optional Loopback Fault Transmit

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

ENGINEERING COMMITTEE Digital Video Subcommittee AMERICAN NATIONAL STANDARD ANSI/SCTE Digital Transmission Standard For Cable Television

ENGINEERING COMMITTEE Digital Video Subcommittee AMERICAN NATIONAL STANDARD ANSI/SCTE Digital Transmission Standard For Cable Television ENGINEERING COMMITTEE Digital Video Subcommittee AMERICAN NATIONAL STANDARD ANSI/SCTE 7 26 Digital Transmission Standard For Cable Television NOTICE The Society of Cable Telecommunications Engineers (SCTE)

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

CS302 Glossary. address : The location of a given storage cell or group of cells in a memory; a unique memory location containing one byte.

CS302 Glossary. address : The location of a given storage cell or group of cells in a memory; a unique memory location containing one byte. CS302 Glossary ABEL Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder A digital circuit which forms the sum and

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

B3ZS Encoder/Decoder Reference Design APPLICATION NOTE OCTOBER 2001 APPLICABLE TDK DEVICES 78P P7200L 78P7202L 78P7203L 78P7204L

B3ZS Encoder/Decoder Reference Design APPLICATION NOTE OCTOBER 2001 APPLICABLE TDK DEVICES 78P P7200L 78P7202L 78P7203L 78P7204L B3ZS Encoder/Decoder Reference Design APPLICATION E INTRODUCTION In DS3 applications, Binary Three Zero Suppression (BZ3S) coding is required when transmitting a sequence of three zeros or more. Often

More information

FEC Issues PCS Lock SMs. Mark Gustlin Cisco IEEE Dallas 802.3ba TF November 2008

FEC Issues PCS Lock SMs. Mark Gustlin Cisco IEEE Dallas 802.3ba TF November 2008 FEC Issues PCS Lock SMs Mark Gustlin Cisco IEEE Dallas 802.3ba TF November 2008 Supporters Jeff Maki Juniper Magesh Valliappan Broadcom Faisal Dada JDSU Norbert Folkens JDSU Pete Anslow Nortel Gary Nicholl

More information

400GbE AMs and PAM4 test pattern characteristics

400GbE AMs and PAM4 test pattern characteristics 400GbE AMs and PAM4 test pattern characteristics Pete Anslow, Ciena IEEE P802.3bs Task Force, Logic Ad Hoc, December 205 Introduction A PRBS3Q short test pattern was added to P802.3bs D. and there has

More information

Digital Transmission System Signaling Protocol EVLA Memorandum No. 33 Version 3

Digital Transmission System Signaling Protocol EVLA Memorandum No. 33 Version 3 Digital Transmission System Signaling Protocol EVLA Memorandum No. 33 Version 3 A modified version of Digital Transmission System Signaling Protocol, Written by Robert W. Freund, September 25, 2000. Prepared

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

SMPTE 292M EG-1 Color Bar Generation, RP 198 Pathological Generation, Grey Pattern Generation IP Core - AN4088

SMPTE 292M EG-1 Color Bar Generation, RP 198 Pathological Generation, Grey Pattern Generation IP Core - AN4088 SMPTE 292M EG-1 Color Bar Generation, RP 198 Pathological Generation, Grey Pattern Generation IP Core - AN4088 January 18, 2005 Document No. 001-14938 Rev. ** - 1 - 1.0 Introduction...3 2.0 Functional

More information

Contents INFORMATION FLOW TRACK - TRAIN

Contents INFORMATION FLOW TRACK - TRAIN 2017-05-12 3. INFORMATION FLOW TRACK-TRAIN Page 1 (159) Chapter 3: INFORMATION FLOW TRACK - TRAIN Contents 3. INFORMATION FLOW TRACK - TRAIN 5 3.1 INTRODUCTION 5 3.1.1 Scope 5 3.2 INFORMATION FLOW TRACK

More information