Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for amplified CCDN

Size: px
Start display at page:

Download "Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for amplified CCDN"

Transcription

1 ... FEC encodingencode and Data Detector processes (FDD) The {EPoC_PMD_Name} encodes the transmitted data using a systematic Low-Density Parity-Check (LDPC) (F C, F P ) code. A LDPC encoder encodes F P information bits into a codeword c = ( i,... i FP p FP... p FC ) by adding F R parity bits Hc T = obtained so that where H is an F R F C binary matrix containing mostly and relatively few, called low-density paritycheck matrix. (see [] and []). The detailed description of such parity check matrices is given in... {to be included in informative references: [] R. G. Gallager, Low density parity check codes, IRE Trans. Inform. Theory, vol. IT-, pp., Jan..; [] T. Richardson and R. Urbanke, Modern Coding Theory," Cambridge University Press, } The CLT {EPoC_PMD_Name} PCS operating on amplified CCDN shall encode the transmitted data using one of the LDPC (F C, F P ) codes per Table, as selected using register TBD. The CNU {EPoC_PMD_Name} PCS operating on amplified CCDN shall encode the transmitted data using one of the LDPC (F C, F P ) codes per Table, as selected using register TBD. Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for amplified CCDN Codeword F C [bits] p Fp...p FC Payload F P [bits] Parity F R [bits] Annex A gives an example of LDPC (F C, F P ) FEC encoding. {we will need to select one of the codes from the family of codes we use in either downstream or upstream and then generate examples}... LDPC matrix definition -bit blocks B Q Payload CRC bits Padding bits B P i...i FP -bit blocks C Q Parity Parity bits in last block C PL Padding bits C P The low-density parity check matrix H for LDPC (F C, F P ) encoder can be divided into blocks of L submatrices. Its compact circulant form is represented by an m n block matrix: where the submatrix H i,j is an L L all-zero submatrix or a cyclic right-shifted identity submatrix. The last n m sub-matrix columns represent the parity portion of the matrix. Moreover, nl = F C, ml = F P and the code rate is (n m)/n = (F C F P )/F C. In this specification, the sub-matrix size L is called the lifting factor.

2 Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for amplified CCDN Codeword F C [bits] Payload F P [bits] Parity F R [bits] H = -bit blocks B Q Payload CRC bits Padding bits B P -bit blocks C Q Parity Parity bits in last block C PL Padding bits C P In this specification, the sub-matrix H i,j is represented by a value in {-,,, L-}, where a -' value represents an all-zero submatrix, and the remaining values represent an L L identity submatrix cyclically rightshifted by the specified value. Such representation of the parity-check matrix is called a base matrix. Table presents a base matrix of the low-density parity-check matrix H for LDPC (, ) code listed in Table for downstream and Table for upstream, respectively. The lifting factor of the matrix is L=. Table LDPC (, ) code matrix H H H H H H H H H... H n... H n... H n H m H m H m... H m n

3 Table LDPC (, ) code matrix (continued)

4 Table LDPC (, ) code matrix (continued) Table presents a base matrix of the low-density parity-check matrix H for LDPC (, ) code listed in Table for upstream. The lifting factor of the matrix is L=. Table LDCP (, ) code matrix

5 Table LDCP (, ) code matrix (continued) Table presents a base matrix of the low-density parity-check matrix H for LDPC (, ) code listed in Table for upstream. The lifting factor of the matrix is L=. Table LDCP (, ) code matrix

6 Table LDCP (, ) code matrix (continued) Data Detector process within CLT (downstream) The {EPoC_PMD_Name} CLT PCS transmit path includes the Data Detector process. This process contains a delay line (represented by the FIFO_FEC_TX buffer) that stores -bit blocks received from the output of the B/B encoder to allow insertion of the FEC parity data into the transmitted data stream. The length of the FIFO_FEC_TX buffer is selected in such a way that it is large enough to compensate for the insertion of the FEC parity data as well as any additional FEC-related overhead, as defined in... For the Data Detector process included in the {EPoC_PMD_Name} CLT PCS transmit path, the length of the FIFO_FEC_TX buffer is set to be equal to the maximum amount of FEC parity data that may be inserted within the transmission time of one packet of a maximum length (i.e., at most forty -bit blocks of FEC parity data). NOTE: the last statement in yellow above must be recalculated and revised. The value comes from G- EPON and it is likely incorrect.... LDPC encodingencode process within CLT (downstream) The process of padding FEC codewords and appending FEC parity octets in the {EPoC_PMD_Name} CLT transmitterpcs transmit path is illustrated in Figure. The B/B encoder produces a stream of -bit blocks, which are then delivered to the FEC Encode and Data Detector input process, as shown in Figure FEC encoder. The FEC encoder accumulates B Q (see Table ) of these -bit blocks to form the payload portion of athe FEC codeword, removing the redundant first bit (i.e., sync header bit <>) in each -bit block received from the B/B encoder. The first bit <> of the sync header in the -bit block in the transmit direction is guaranteed to be the complement of the second bit <> of the sync header see... for more details. Next, the FEC encoder calculates CRC (see...) over the aggregated B Q -bit blocks, placing the resulting bits of CRC code immediately after the B Q -bit blocks, forming the payload portion of the

7 FEC codeword. Finally, the FEC encoder prepends B P (see Table ) padding bits (with the binary value of ) to the payload portion of the FEC codeword as shown in Figure. This resulting data is then LDPC-encoded, resulting inproducing the F R bits of parity data. The first bits of parity data are inserted into the -bit block carrying CRC code, complementing it. The remaining F R - bits of parity data is then divided into C Q -bit blocks. Note that -bit blocks carrying CRC data and parity data do not include sync header. The last -bit block of the parity data contains C PL bits of parity data, and the remaining C P bits are filled with padding (binary ).... LDPC codeword transmission order within CLT (downstream) Once the process of calculating FEC parity is complete, the payload portion of the FEC codeword and the parity portion of the FEC codeword are then transferred towards the Data DetectorPMA, one -bit block at a time. Note that the B P padding bits used to generate the FEC codeword are not transmitted towards the Data DetectorPMA. The C P padding bits in the last parity codeword (block number C Q ) are transmitted towards the Data DetectorPMA.

8 TXD<> sync header first XGMII transfer Input for FEC encoder (F P bits) Figure PCS Transmit bit ordering within CLT (downstream)... LDPC encoding process within CNU (upstream) {the upstream FEC encoding for CNU will be described when we have a consistent proposal on how to mix three different FEC codes into a single transmission slot}... Data Detector process within CNU (upstream) TXD<> D D D D D D D D B/B Encoder C C C C C C C C C C C C C C C C -bit block data -bit block data -bit block data FEC payload Aggregate B Q B-blocks & Calculate CRC -bit block B Q data LDPC Encoder FEC parity (F R bits) B P padding bits {Data Detector process in the upstream will be much more complex, since it needs to account for burs transmission as well and burst structure - text is TBD at this time} TXD<> Data Detector -bit block B Q- data -bit block CRC parity second XGMII transfer -bit block B Q data -bit block parity CRC TXD<> -bit block C Q parity pad CPL- CP-

9 ... LDPC codeword transmission order within CNU (upstream) {the content of this subclause ought to be quite similar with the content of...}... CRC {the content of this subclause will provide details about CRC used in EPoC to guarantee MTTFPA}... State diagrams... Constants B P B Q C P C Q F P F R VALUE: see Table for downstream FEC, Table for upstream FEC This constant represents the number of padding bits within the payload portion of the FEC codeword. VALUE: see Table for downstream FEC, Table for upstream FEC This constant represents the number of -bit blocks within the payload portion of the FEC codeword. VALUE: see Table for downstream FEC, Table for upstream FEC This constant represents the number of padding bits within the last -bit block of the parity portion of the FEC codeword. VALUE: see Table for downstream FEC, Table for upstream FEC This constant represents the number of -bit blocks within the parity portion of the FEC codeword. VALUE: see Table for downstream FEC, Table for upstream FEC This constant represents the number of bits within the payload portion of the FEC codeword. VALUE: see Table for downstream FEC, Table for upstream FEC This constant represents the number of bits within the parity portion of the FEC codeword. SH_CTRL See... SH_DATA See Variables blockcount TYPE: -bit unsigned integer This variable represents the number of either -bit blocks or -bit blocks. CLK TYPE: Boolean This Boolean is true on every negative edge of TX_CLK (see..) and represents instances of time at which a -bit block is passed from the output of the B/B encoder into the FEC encoder. This variable is reset to false upon read.

10 datapayload<f P -:> TYPE: Bit array This array represents the payload portion of the FEC codeword, accounting for the necessary padding. It is initialized to the size of F P bits and filled with the binary value of. dataparity<f R -+C P :> TYPE: Bit array This array represents the parity portion of the FEC codeword, accounting for the necessary padding. It is initialized to the size of F R + C P bits and filled with the binary value of. FIFO_FEC_TX TYPE: Array of -bit blocks A FIFO array used to store -bit blocks, inserted by the input process and retrieved by the output process in the FEC encoder. loc TYPE: -bit unsigned integer This variable represents the position within the given bit array. SH_CTRL See... SH_DATA See... sizefifo TYPE: -bit unsigned integer This variable represents the number of -bit blocks stored in the FIFO. tx_coded<:> TYPE: -bit block This -bit block contains B/B encoded data from the output of B/B encoder. The format for this data block is shown in Figure. The left-most bit in the figure is tx_coded<> and the right-most bit is tx_coded<>. tx_coded_out<:> TYPE: -bit block This -bit block contains the output of the FEC encoder being passed towardsto the Data Detector. The left-most bit is tx_coded_out<> and the right-most bit is tx_coded_out<>.... Functions calculatecrc ( ARRAY_IN ) This function calculates CRC for data included in ARRAY_IN. calculateparity( ARRAY_IN ) This function calculates LDPC parity (for the code per Table or Table ) for data included in ARRAY_IN. resetarray( ARRAY_IN ) This function resets the content of ARRAY_IN, removing all the elements within ARRAY_IN and setting its size to. removefifohead( ARRAY_IN ) This function removes the first block in ARRAY_IN and decrements its size by. removefifohead( ARRAY_IN ) { ARRAY_IN[] = ARRAY_IN[] ARRAY_IN[] = ARRAY_IN[]

11 ... ARRAY_IN[sizeFifo-] = ARRAY_IN[sizeFifo-] sizefifo -- }... Messages TBD... State diagrams The CLT PCS shall implement the LDPCFEC Encode and Data Detector encoding process, comprising the input process as shown in Figure and the output process as shown in Figure. The CNU PCS shall implement the LDPC encodingfec Encode and Data Detector process, comprising the input process as shown in Figure and the output process as shown in Figure. In case of any discrepancy between state diagrams and the descriptive text, the state diagrams prevail. BEGIN WAIT_FOR_BLOCK AGGREGATE_BLOCK tx_coded<:> * (tx_coded<:> = SH_DATA + tx_coded<:> = SH_CTRL) FIFO_FEC_TX[sizeFifo] tx_coded<:> sizefifo ++ UCT INIT sizefifo Figure FEC encoderencode and Data Detector, input process state diagram

12 CLK * blockcount < BQ BEGIN RESET loc blockcount resetarray( datapayload ) resetarray( dataparity ) Figure FEC encoderencode and Data Detector, output process state diagram (CLT UCT CLK * blockcount = B Q datapayload<loc+:loc> calculatecrc( datapayload<loc-:> ) dataparity calculateparity( datapayload ) tx_coded_out<:> datapayload<loc+:loc> tx_coded_out<:> dataparity<:> loc blockcount CLK * blockcount < CQ INIT AGGREGATE_B Q _BLOCKS datapayload<loc+:loc> FIFO_FEC_TX[] tx_coded_out<:> FIFO_FEC_TX[] removefifohead( FIFO_FEC_TX ) loc += blockcount ++ CALCULATE_CRC_AND_PARITY SEND_PARITY CLK CLK tx_coded_out<:> dataparity<loc+:loc> loc += blockcount ++ CLK * blockcount = C Q

Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for active CCDN

Table LDCP codes used by the CLT {EPoC_PMD_Name} PCS for active CCDN 0... FEC encoding process The {EPoC_PMD_Name} encodes the transmitted using a systematic Low-Density Parity-Check (LDPC) (F C, F P ) code. A LDPC encoder encodes F P information bits into a codeword c

More information

REPORT/GATE FORMAT. Ed Boyd, Xingtera Supporters: Duane Remein, Huawei

REPORT/GATE FORMAT. Ed Boyd, Xingtera Supporters: Duane Remein, Huawei REPORT/GATE FORMAT Ed Boyd, Xingtera Supporters: Duane Remein, Huawei 1 Overview EPON defines a physical layer for 1Gbps and 10Gbps. EPoC requires more granularity and flexibility to adapt to limited spectrum

More information

Data Rate to Line Rate Conversion. Glen Kramer (Broadcom Ltd)

Data Rate to Line Rate Conversion. Glen Kramer (Broadcom Ltd) Data Rate to Line Rate Conversion Glen Kramer (Broadcom Ltd) Motivation 100G EPON MAC data rate is 25 Gb/s 25GMII transmits 32 bits @ 390.625 MHz (on both rising and falling edges) 64b/66b encoder adds

More information

Programmable Pattern Generator For 10GBASE-R/W. Jonathan Thatcher. World Wide Packets

Programmable Pattern Generator For 10GBASE-R/W. Jonathan Thatcher. World Wide Packets Programmable Pattern Generator For 10GBASE-R/W Jonathan Thatcher World Wide Packets Motivation n Motivation: provide a simple to implement, programmable pattern generator. n Rationale: it is not clear

More information

Error performance objective for 400GbE

Error performance objective for 400GbE Error performance objective for 400GbE Pete Anslow, Ciena IEEE 400 Gb/s Ethernet Study Group, York, September 2013 1 Introduction The error performance objective adopted for the P802.3ba, P802.3bj and

More information

Error performance objective for 25 GbE

Error performance objective for 25 GbE Error performance objective for 25 GbE Pete Anslow, Ciena IEEE 25 Gb/s Ethernet Study Group, Ottawa, Canada, September 2014 1 History The error performance objective adopted for the P802.3ba, P802.3bj

More information

Improving Frame FEC Efficiency. Improving Frame FEC Efficiency. Using Frame Bursts. Lior Khermosh, Passave. Ariel Maislos, Passave

Improving Frame FEC Efficiency. Improving Frame FEC Efficiency. Using Frame Bursts. Lior Khermosh, Passave. Ariel Maislos, Passave Improving Frame FEC Efficiency Improving Frame FEC Efficiency Using Frame Bursts Ariel Maislos, Passave Lior Khermosh, Passave Motivation: Efficiency Improvement Motivation: Efficiency Improvement F-FEC

More information

Transmission scheme for GEPOF

Transmission scheme for GEPOF Transmission scheme for GE Rubén Pérez-Aranda (rubenpda@kdpof.com) Agenda Motivation and objectives Transmission scheme: overview Transmission scheme: pilot sequences Transmission scheme: physical header

More information

Optimum Frame Synchronization for Preamble-less Packet Transmission of Turbo Codes

Optimum Frame Synchronization for Preamble-less Packet Transmission of Turbo Codes ! Optimum Frame Synchronization for Preamble-less Packet Transmission of Turbo Codes Jian Sun and Matthew C. Valenti Wireless Communications Research Laboratory Lane Dept. of Comp. Sci. & Elect. Eng. West

More information

/10/$ IEEE ICME /10/$ IEEE 504

/10/$ IEEE ICME /10/$ IEEE 504 LDPC FEC CODE EXENSION FOR UNEQUAL ERROR PROECION IN 2ND GENERAION DVB SYSEMS Lukasz Kondrad, Imed Bouazizi 2, Moncef Gabbouj ampere University of echnology, ampere, Finland 2 Nokia Research Center, ampere,

More information

P802.3av interim, Shanghai, PRC

P802.3av interim, Shanghai, PRC P802.3av interim, Shanghai, PRC 08 09.06.2009 Overview of 10G-EPON compiled by Marek Hajduczenia marek.hajduczenia@zte.com.cn Rev 1.2 P802.3av interim, Shanghai, PRC 08 09.06.2009 IEEE P802.3av 10G-EPON

More information

Commsonic. DVB-S2 Modulator CMS0025. Contact information

Commsonic. DVB-S2 Modulator CMS0025. Contact information DVB-S2 Modulator CMS0025 Fully compliant with ETSI EN 302 307-1 and ETSI EN 302 307-2. Variable sample-rate interpolation provides ultra-flexible clocking strategy Support for CCM, VCM and ACM modes. Compatible

More information

802.3bj FEC Overview and Status IEEE P802.3bm

802.3bj FEC Overview and Status IEEE P802.3bm 802.3bj FEC Overview and Status IEEE P802.3bm September 2012 Geneva John D Ambrosia Dell Mark Gustlin Xilinx Pete Anslow Ciena Agenda Status of P802.3bj FEC Review of the RS-FEC architecture How the FEC

More information

Backplane NRZ FEC Baseline Proposal

Backplane NRZ FEC Baseline Proposal Backplane NRZ FEC Baseline Proposal IEEE P802.3bj March 2012 Hawaii Stephen Bates PMC-Sierra, Matt Brown APM, Roy Cideciyan IBM, Mark Gustlin Xilinx, Adam Healey - LSI, Martin Langhammer - Altera, Jeff

More information

Commsonic. Satellite FEC Decoder CMS0077. Contact information

Commsonic. Satellite FEC Decoder CMS0077. Contact information Satellite FEC Decoder CMS0077 Fully compliant with ETSI EN-302307-1 / -2. The IP core accepts demodulated digital IQ inputs and is designed to interface directly with the CMS0059 DVB-S2 / DVB-S2X Demodulator

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

HOLITA HDLC Core: Datasheet

HOLITA HDLC Core: Datasheet HOLITA HDLC Core: Datasheet Version 1.0, July 2012 8-bit Parallel to Serial Shift 8-bit Serial to Parallel Shift HDLC Core FSC16/32 Generation Zero Insert Transmit Control FSC16/32 Check Zero Deletion

More information

Commsonic. ISDB-S3 Modulator CMS0070. Contact information

Commsonic. ISDB-S3 Modulator CMS0070. Contact information ISDB-S3 Modulator CMS0070 Fully compliant with ARIB STD-B44. Variable sample-rate interpolation provides ultra-flexible clocking strategy BPSK, QPSK, 8-PSK, 16-APSK and 32-APSK supported. Integrated LDPC

More information

for Television ---- Formatting AES/EBU Audio and Auxiliary Data into Digital Video Ancillary Data Space

for Television ---- Formatting AES/EBU Audio and Auxiliary Data into Digital Video Ancillary Data Space SMPTE STANDARD ANSI/SMPTE 272M-1994 for Television ---- Formatting AES/EBU Audio and Auxiliary Data into Digital Video Ancillary Data Space 1 Scope 1.1 This standard defines the mapping of AES digital

More information

Point-to-Point Links

Point-to-Point Links Outline Chapter 2: Direct Link Networks Encoding Framing Point-to-Point Links Error Detection Sliding Window Algorithm 30-Jan-02 Computer Networks 1 Direct Link Networks 30-Jan-02 Computer Networks 2 Direct

More information

GPRS Measurements in TEMS Products. Technical Paper

GPRS Measurements in TEMS Products. Technical Paper GPRS Measurements in TEMS Products Technical Paper GPRS Measurements in TEMS Products Technical Paper 2005-7-19 Ericsson TEMS AB 2005 All rights reserved. No part of this document may be reproduced in

More information

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING Rec. ITU-R BT.111-2 1 RECOMMENDATION ITU-R BT.111-2 * WIDE-SCREEN SIGNALLING FOR BROADCASTING (Signalling for wide-screen and other enhanced television parameters) (Question ITU-R 42/11) Rec. ITU-R BT.111-2

More information

Arbitrary Waveform Generator

Arbitrary Waveform Generator 1 Arbitrary Waveform Generator Client: Agilent Technologies Client Representatives: Art Lizotte, John Michael O Brien Team: Matt Buland, Luke Dunekacke, Drew Koelling 2 Client Description: Agilent Technologies

More information

FAULT SECURE ENCODER AND DECODER WITH CLOCK GATING

FAULT SECURE ENCODER AND DECODER WITH CLOCK GATING FAULT SECURE ENCODER AND DECODER WITH CLOCK GATING N.Kapileswar 1 and P.Vijaya Santhi 2 Dept.of ECE,NRI Engineering College, Pothavarapadu,,,INDIA 1 nvkapil@gmail.com, 2 santhipalepu@gmail.com Abstract:

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

COSC3213W04 Exercise Set 2 - Solutions

COSC3213W04 Exercise Set 2 - Solutions COSC313W04 Exercise Set - Solutions Encoding 1. Encode the bit-pattern 1010000101 using the following digital encoding schemes. Be sure to write down any assumptions you need to make: a. NRZ-I Need to

More information

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi, India 2 HOD, Priyadarshini Institute

More information

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 V Priya 1 M Parimaladevi 2 1 Master of Engineering 2 Assistant Professor 1,2 Department

More information

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder JTulasi, TVenkata Lakshmi & MKamaraju Department of Electronics and Communication Engineering, Gudlavalleru Engineering College,

More information

Section 6.8 Synthesis of Sequential Logic Page 1 of 8

Section 6.8 Synthesis of Sequential Logic Page 1 of 8 Section 6.8 Synthesis of Sequential Logic Page of 8 6.8 Synthesis of Sequential Logic Steps:. Given a description (usually in words), develop the state diagram. 2. Convert the state diagram to a next-state

More information

CS302 Glossary. address : The location of a given storage cell or group of cells in a memory; a unique memory location containing one byte.

CS302 Glossary. address : The location of a given storage cell or group of cells in a memory; a unique memory location containing one byte. CS302 Glossary ABEL Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder A digital circuit which forms the sum and

More information

IEEE 802.3ca Channel Bonding And Skew Remediation

IEEE 802.3ca Channel Bonding And Skew Remediation Joint IEEE 802 and ITU-T Study Group 15 workshop Building Tomorrow s Networks Geneva, Switzerland, 27 January 2018 IEEE 802.3ca Channel Bonding And Skew Remediation Glen Kramer, Broadcom Multi-channel

More information

(51) Int Cl.: H04L 1/00 ( )

(51) Int Cl.: H04L 1/00 ( ) (19) TEPZZ Z4 497A_T (11) EP 3 043 497 A1 (12) EUROPEAN PATENT APPLICATION published in accordance with Art. 153(4) EPC (43) Date of publication: 13.07.2016 Bulletin 2016/28 (21) Application number: 14842584.6

More information

Physical Layer Signaling for the Next Generation Mobile TV Standard DVB-NGH

Physical Layer Signaling for the Next Generation Mobile TV Standard DVB-NGH Physical Layer Signaling for the Next Generation Mobile TV Standard DVB-NGH Author: José Mª Llorca Beltrán Director: David Gómez Barquero Tutor: Narcís Cardona Marcet Start Date: 1/04/2010 Workplace: Mobile

More information

Viterbi Decoder User Guide

Viterbi Decoder User Guide V 1.0.0, Jan. 16, 2012 Convolutional codes are widely adopted in wireless communication systems for forward error correction. Creonic offers you an open source Viterbi decoder with AXI4-Stream interface,

More information

COMP 249 Advanced Distributed Systems Multimedia Networking. Video Compression Standards

COMP 249 Advanced Distributed Systems Multimedia Networking. Video Compression Standards COMP 9 Advanced Distributed Systems Multimedia Networking Video Compression Standards Kevin Jeffay Department of Computer Science University of North Carolina at Chapel Hill jeffay@cs.unc.edu September,

More information

The following references and the references contained therein are normative.

The following references and the references contained therein are normative. MISB ST 0605.5 STANDARD Encoding and Inserting Time Stamps and KLV Metadata in Class 0 Motion Imagery 26 February 2015 1 Scope This standard defines requirements for encoding and inserting time stamps

More information

IN A SERIAL-LINK data transmission system, a data clock

IN A SERIAL-LINK data transmission system, a data clock IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 9, SEPTEMBER 2006 827 DC-Balance Low-Jitter Transmission Code for 4-PAM Signaling Hsiao-Yun Chen, Chih-Hsien Lin, and Shyh-Jye

More information

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015 Q.2 a. Draw and explain the V-I characteristics (forward and reverse biasing) of a pn junction. (8) Please refer Page No 14-17 I.J.Nagrath Electronic Devices and Circuits 5th Edition. b. Draw and explain

More information

AVTP Pro Video Formats. Oct 22, 2012 Rob Silfvast, Avid

AVTP Pro Video Formats. Oct 22, 2012 Rob Silfvast, Avid AVTP Pro Video Formats Oct 22, 2012 Rob Silfvast, Avid Collaboration effort among notable players is actively underway Rob Silfvast, Avid (Audio System architect, AVB instigator) Damian Denault, Avid (Director

More information

Title: Lucent Technologies TDMA Half Rate Speech Codec

Title: Lucent Technologies TDMA Half Rate Speech Codec UWCC.GTF.HRP..0.._ Title: Lucent Technologies TDMA Half Rate Speech Codec Source: Michael D. Turner Nageen Himayat James P. Seymour Andrea M. Tonello Lucent Technologies Lucent Technologies Lucent Technologies

More information

Digital Transmission System Signaling Protocol EVLA Memorandum No. 33 Version 3

Digital Transmission System Signaling Protocol EVLA Memorandum No. 33 Version 3 Digital Transmission System Signaling Protocol EVLA Memorandum No. 33 Version 3 A modified version of Digital Transmission System Signaling Protocol, Written by Robert W. Freund, September 25, 2000. Prepared

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 05 February 23, 2012 Dohn Bowden 1 Today s Lecture Analysis of Clocked Sequential Circuits Chapter 13 2 Course Admin 3 Administrative Admin

More information

0 0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 1 1 Stop bits. 11-bit Serial Data format

0 0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 1 1 Stop bits. 11-bit Serial Data format Applications of Shift Registers The major application of a shift register is to convert between parallel and serial data. Shift registers are also used as keyboard encoders. The two applications of the

More information

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs Introduction White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs In broadcasting production and delivery systems, digital video data is transported using one of two serial

More information

FEC Options. IEEE P802.3bj January 2011 Newport Beach

FEC Options. IEEE P802.3bj January 2011 Newport Beach FEC Options IEEE P802.3bj January 2011 Newport Beach Stephen Bates PMC-Sierra, Roy Cideciyan IBM, Mark Gustlin Xilinx, Martin Langhammer - Altera, Jeff Slavick Avago, Zhongfeng Wang Broadcom Supporters

More information

NUMEROUS elaborate attempts have been made in the

NUMEROUS elaborate attempts have been made in the IEEE TRANSACTIONS ON COMMUNICATIONS, VOL. 46, NO. 12, DECEMBER 1998 1555 Error Protection for Progressive Image Transmission Over Memoryless and Fading Channels P. Greg Sherwood and Kenneth Zeger, Senior

More information

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 239 42, ISBN No. : 239 497 Volume, Issue 5 (Jan. - Feb 23), PP 7-24 A High- Speed LFSR Design by the Application of Sample Period Reduction

More information

INTERNATIONAL TELECOMMUNICATION UNION

INTERNATIONAL TELECOMMUNICATION UNION INTERNATIONAL TELECOMMUNICATION UNION ITU-T G.975 TELECOMMUNICATION STANDARDIZATION SECTOR OF ITU (10/2000) SERIES G: TRANSMISSION SYSTEMS AND MEDIA, DIGITAL SYSTEMS AND NETWORKS Digital sections and digital

More information

10GE WAN PHY: Physical Medium Attachment (PMA)

10GE WAN PHY: Physical Medium Attachment (PMA) 10GE WAN PHY: Physical Medium Attachment (PMA) IEEE 802.3 Meeting, Albuquerque March 6-10, 2000 Norival Figueira, Paul Bottorff, David Martin, Tim Armstrong, Bijan Raahemi.. Enrique Hernandez-Valencia..

More information

MISB ST STANDARD. Time Stamping and Metadata Transport in High Definition Uncompressed Motion Imagery. 27 February Scope.

MISB ST STANDARD. Time Stamping and Metadata Transport in High Definition Uncompressed Motion Imagery. 27 February Scope. MISB ST 0605.4 STANDARD Time Stamping and Metadata Transport in High Definition Uncompressed Motion 27 February 2014 1 Scope This Standard defines requirements for inserting frame-accurate time stamps

More information

FEC Selection for 25G/50G/100G EPON

FEC Selection for 25G/50G/100G EPON FEC Selection for 25G/50G/100G EPON Bill Powell, Ed Harstead - Nokia Fixed Networks CTO Group Adriaan de Lind van Wijngaarden, Vincent Houtsma, Dora van Veen - Nokia Bell Labs Orlando, FL November 2017

More information

Helping Material of CS302

Helping Material of CS302 ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital circuit which forms the sum and carry of

More information

Modified Generalized Integrated Interleaved Codes for Local Erasure Recovery

Modified Generalized Integrated Interleaved Codes for Local Erasure Recovery Modified Generalized Integrated Interleaved Codes for Local Erasure Recovery Xinmiao Zhang Dept. of Electrical and Computer Engineering The Ohio State University Outline Traditional failure recovery schemes

More information

Proposed SMPTE Standard SMPTE 425M-2005 SMPTE STANDARD- 3Gb/s Signal/Data Serial Interface Source Image Format Mapping.

Proposed SMPTE Standard SMPTE 425M-2005 SMPTE STANDARD- 3Gb/s Signal/Data Serial Interface Source Image Format Mapping. Proposed SMPTE Standard Date: TP Rev 0 SMPTE 425M-2005 SMPTE Technology Committee N 26 on File Management and Networking Technology SMPTE STANDARD- 3Gb/s Signal/Data Serial Interface Source

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION Spring 2012 Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1

More information

DVB-T2 modulator design supporting multiple PLP and auxiliary streams

DVB-T2 modulator design supporting multiple PLP and auxiliary streams > BMSB-2010 - mm2010-86 < 1 DVB-T2 modulator design supporting multiple PLP and auxiliary streams Correia S., Vélez M., Prieto G., Eizmendi I., Berjon-Eriz G., Fernández C., Ordiales J.L. Abstract This

More information

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic Chapter 5. Synchronous Sequential Logic 1 5.1 Introduction Electronic products: ability to send, receive, store, retrieve, and process information in binary format Dependence on past values of inputs Sequential

More information

Commsonic. DVB-Satellite Modulator CMS0035. Contact information

Commsonic. DVB-Satellite Modulator CMS0035. Contact information DVB-Satellite Modulator CMS0035 Fully compliant with ETSI EN 302 307-1 / 302 307-2, ETSI EN 301 210 and ETSI EN 300 421. Variable sample-rate interpolation provides ultra-flexible clocking strategy. Integrated

More information

ENGINEERING COMMITTEE Digital Video Subcommittee AMERICAN NATIONAL STANDARD ANSI/SCTE Digital Transmission Standard For Cable Television

ENGINEERING COMMITTEE Digital Video Subcommittee AMERICAN NATIONAL STANDARD ANSI/SCTE Digital Transmission Standard For Cable Television ENGINEERING COMMITTEE Digital Video Subcommittee AMERICAN NATIONAL STANDARD ANSI/SCTE 7 26 Digital Transmission Standard For Cable Television NOTICE The Society of Cable Telecommunications Engineers (SCTE)

More information

Microbolometer based infrared cameras PYROVIEW with Fast Ethernet interface

Microbolometer based infrared cameras PYROVIEW with Fast Ethernet interface DIAS Infrared GmbH Publications No. 19 1 Microbolometer based infrared cameras PYROVIEW with Fast Ethernet interface Uwe Hoffmann 1, Stephan Böhmer 2, Helmut Budzier 1,2, Thomas Reichardt 1, Jens Vollheim

More information

Implementation of CRC and Viterbi algorithm on FPGA

Implementation of CRC and Viterbi algorithm on FPGA Implementation of CRC and Viterbi algorithm on FPGA S. V. Viraktamath 1, Akshata Kotihal 2, Girish V. Attimarad 3 1 Faculty, 2 Student, Dept of ECE, SDMCET, Dharwad, 3 HOD Department of E&CE, Dayanand

More information

Latest Trends in Worldwide Digital Terrestrial Broadcasting and Application to the Next Generation Broadcast Television Physical Layer

Latest Trends in Worldwide Digital Terrestrial Broadcasting and Application to the Next Generation Broadcast Television Physical Layer Latest Trends in Worldwide Digital Terrestrial Broadcasting and Application to the Next Generation Broadcast Television Physical Layer Lachlan Michael, Makiko Kan, Nabil Muhammad, Hosein Asjadi, and Luke

More information

Sector Processor to Detector Dependent Unit Interface

Sector Processor to Detector Dependent Unit Interface Sector Processor to Detector Dependent Unit Interface Petersburg Nuclear Physics Institute / University of Florida Version 1.1 October 18, 2001 Introduction The Sector Processor (SP) reconstructs tracks

More information

A LOW COST TRANSPORT STREAM (TS) GENERATOR USED IN DIGITAL VIDEO BROADCASTING EQUIPMENT MEASUREMENTS

A LOW COST TRANSPORT STREAM (TS) GENERATOR USED IN DIGITAL VIDEO BROADCASTING EQUIPMENT MEASUREMENTS A LOW COST TRANSPORT STREAM (TS) GENERATOR USED IN DIGITAL VIDEO BROADCASTING EQUIPMENT MEASUREMENTS Radu Arsinte Technical University Cluj-Napoca, Faculty of Electronics and Telecommunication, Communication

More information

Canova Tech. IEEE 802.3cg Collision Detection Reliability in 10BASE-T1S March 6 th, 2019 PIERGIORGIO BERUTO ANTONIO ORZELLI

Canova Tech. IEEE 802.3cg Collision Detection Reliability in 10BASE-T1S March 6 th, 2019 PIERGIORGIO BERUTO ANTONIO ORZELLI Canova Tech The Art of Silicon Sculpting PIERGIORGIO BERUTO ANTONIO ORZELLI IEEE 802.3cg Collision Detection Reliability in 10BASE-T1S March 6 th, 2019 Public Document Slide 1 Public Document Slide 2 Outline

More information

Synchronization Issues During Encoder / Decoder Tests

Synchronization Issues During Encoder / Decoder Tests OmniTek PQA Application Note: Synchronization Issues During Encoder / Decoder Tests Revision 1.0 www.omnitek.tv OmniTek Advanced Measurement Technology 1 INTRODUCTION The OmniTek PQA system is very well

More information

COM-7002 TURBO CODE ERROR CORRECTION ENCODER / DECODER

COM-7002 TURBO CODE ERROR CORRECTION ENCODER / DECODER TURBO CODE ERROR CORRECTION ENCODER / DECODER Key Features Full duplex turbo code encoder / decoder. Rate: 0.25 to 0.97. Block length: 64 bits to 4 Kbits. Speed up to 11.7 Mbps. Automatic frame synchronization.

More information

Implementation of Modified FEC Codec and High-Speed Synchronizer in 10G-EPON

Implementation of Modified FEC Codec and High-Speed Synchronizer in 10G-EPON Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Implementation of Modified FEC Codec and High-Speed Synchronizer in 10G-EPON Min ZHANG, Yue CUI, Qiwang LI, Weiping HAN,

More information

Joint use of LTP and Erasure FEC for space environments (ECLSA 2.0)

Joint use of LTP and Erasure FEC for space environments (ECLSA 2.0) Joint use of LTP and Erasure FEC for space environments (ECLSA 2.0) Nicola Alessi, Carlo Caini, *Tomaso de Cola University of Bologna, *DLR Oberpfaffenhofen-Wessling Outline Introduction to ECLSA ECLSA

More information

AUDIOVISUAL COMMUNICATION

AUDIOVISUAL COMMUNICATION AUDIOVISUAL COMMUNICATION Laboratory Session: Recommendation ITU-T H.261 Fernando Pereira The objective of this lab session about Recommendation ITU-T H.261 is to get the students familiar with many aspects

More information

The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem.

The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem. State Reduction The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem. State-reduction algorithms are concerned with procedures for reducing the

More information

CS302 - Digital Logic Design FAQs By

CS302 - Digital Logic Design FAQs By CS302 - Digital Logic Design FAQs By For BCD numbers that add up to an invalid BCD number or generate a carry the number 6 (0110) is added to the invalid number, why? These binary numbers are not allowed

More information

SpaceFibre. Steve Parkes, Chris McClements, Martin Suess* Space Technology Centre University of Dundee *ESA, ESTEC

SpaceFibre. Steve Parkes, Chris McClements, Martin Suess* Space Technology Centre University of Dundee *ESA, ESTEC SpaceFibre Steve Parkes, Chris McClements, Martin Suess* Space Technology Centre University of Dundee *ESA, ESTEC 1 Lessons Learnt from SpaceWire Cable Mass 87 g/m approximately Bi-directional Data strobe

More information

Troubleshooting and Analyzing Digital Video Signals with CaptureVu

Troubleshooting and Analyzing Digital Video Signals with CaptureVu Troubleshooting and Analyzing Digital Video Signals with CaptureVu Digital video systems provide and maintain the quality of the image throughout the transmission path. However when digital video problems

More information

Generation and Measurement of Burst Digital Audio Signals with Audio Analyzer UPD

Generation and Measurement of Burst Digital Audio Signals with Audio Analyzer UPD Generation and Measurement of Burst Digital Audio Signals with Audio Analyzer UPD Application Note GA8_0L Klaus Schiffner, Tilman Betz, 7/97 Subject to change Product: Audio Analyzer UPD . Introduction

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers Registers Registers are a very important digital building block. A data register is used to store binary information appearing at the output of an encoding matrix.shift registers are a type of sequential

More information

Implementation of Memory Based Multiplication Using Micro wind Software

Implementation of Memory Based Multiplication Using Micro wind Software Implementation of Memory Based Multiplication Using Micro wind Software U.Palani 1, M.Sujith 2,P.Pugazhendiran 3 1 IFET College of Engineering, Department of Information Technology, Villupuram 2,3 IFET

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

10 Mb/s Single Twisted Pair Ethernet Proposed PCS Layer for Long Reach PHY Dirk Ziegelmeier Steffen Graber Pepperl+Fuchs

10 Mb/s Single Twisted Pair Ethernet Proposed PCS Layer for Long Reach PHY Dirk Ziegelmeier Steffen Graber Pepperl+Fuchs 10 Mb/s Single Twisted Pair Ethernet Proposed PCS Layer for Long Reach PHY Dirk Ziegelmeier Steffen Graber Pepperl+Fuchs IEEE P802.3cg 10 Mb/s Single Twisted Pair Ethernet Task Force 8/29/2017 1 Content

More information

Serial Digital Interface

Serial Digital Interface Serial Digital Interface From Wikipedia, the free encyclopedia (Redirected from HDSDI) The Serial Digital Interface (SDI), standardized in ITU-R BT.656 and SMPTE 259M, is a digital video interface used

More information

10G EPON 1G EPON Coexistence

10G EPON 1G EPON Coexistence 10G EPON 1G EPON Coexistence Glen Kramer, Teknovus Frank Effenberger, Huawei Howard Frazier, Broadcom Marek Hajduczenia, Siemens Ketan Gadkari, Alloptic Frank Chang, Vitesse 1 Goal and Proposal Goal 1.

More information

Area-efficient high-throughput parallel scramblers using generalized algorithms

Area-efficient high-throughput parallel scramblers using generalized algorithms LETTER IEICE Electronics Express, Vol.10, No.23, 1 9 Area-efficient high-throughput parallel scramblers using generalized algorithms Yun-Ching Tang 1, 2, JianWei Chen 1, and Hongchin Lin 1a) 1 Department

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

Commsonic. Multi-channel ATSC 8-VSB Modulator CMS0038. Contact information. Compliant with ATSC A/53 8-VSB

Commsonic. Multi-channel ATSC 8-VSB Modulator CMS0038. Contact information. Compliant with ATSC A/53 8-VSB Multi-channel ATSC 8-VSB Modulator CMS0038 Compliant with ATSC A/53 8-VSB Scalable architecture supports 1 to 4 channels per core, and multiple instances per FPGA. Variable sample-rate interpolation provides

More information

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT. An Advanced and Area Optimized L.U.T Design using A.P.C. and O.M.S K.Sreelakshmi, A.Srinivasa Rao Department of Electronics and Communication Engineering Nimra College of Engineering and Technology Krishna

More information

LPI SIGNALING ACROSS CLAUSE 108 RS-FEC

LPI SIGNALING ACROSS CLAUSE 108 RS-FEC March 2015 P802.3by 25 Gb/s Ethernet Task Force 1 LPI SIGNALING ACROSS CLAUSE 108 RS-FEC Adee Ran March 2015 P802.3by 25 Gb/s Ethernet Task Force 2 Background LPI original functions TX informs the RX that

More information

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2002 4/5/02 Midterm Exam II Name: Solutions ID number:

More information

PCM ENCODING PREPARATION... 2 PCM the PCM ENCODER module... 4

PCM ENCODING PREPARATION... 2 PCM the PCM ENCODER module... 4 PCM ENCODING PREPARATION... 2 PCM... 2 PCM encoding... 2 the PCM ENCODER module... 4 front panel features... 4 the TIMS PCM time frame... 5 pre-calculations... 5 EXPERIMENT... 5 patching up... 6 quantizing

More information

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No FINALTERM EXAMINATION Spring 2010 CS302- Digital Logic Design (Session - 4) Time: 90 min Marks: 58 For Teacher's Use Only Q 1 2 3 4 5 6 7 8 Total No. Marks Q No. 9 10 11 12 13 14 15 16 Marks Q No. 17 18

More information

SEMESTER ONE EXAMINATIONS 2002

SEMESTER ONE EXAMINATIONS 2002 SEMESTER ONE EXAMINATIONS 2002 EE101 Digital Electronics Solutions Question 1. An assembly line has 3 failsafe sensors and 1 emergency shutdown switch. The Line should keep moving unless any of the following

More information

Commsonic. (Tail-biting) Viterbi Decoder CMS0008. Contact information. Advanced Tail-Biting Architecture yields high coding gain and low delay.

Commsonic. (Tail-biting) Viterbi Decoder CMS0008. Contact information. Advanced Tail-Biting Architecture yields high coding gain and low delay. (Tail-biting) Viterbi Decoder CMS0008 Advanced Tail-Biting Architecture yields high coding gain and low delay. Synthesis configurable code generator coefficients and constraint length, soft-decision width

More information

Application Note. RTC Binary Counter An Introduction AN-CM-253

Application Note. RTC Binary Counter An Introduction AN-CM-253 Application Note RTC Binary Counter An Introduction AN-CM-253 Abstract This application note introduces the behavior of the GreenPAK's Real-Time Counter (RTC) and outlines a couple common design applications

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

Generating Signals for WLAN ac

Generating Signals for WLAN ac Application Note C. Tröster 07.2012-1GP94_0E Generating Signals for WLAN 802.11ac Application Note Products: R&S SMU200A R&S SMATE200A R&S SMBV100A R&S SMJ100A R&S SGS100A R&S AMU200A R&S AFQ100A R&S AFQ100B

More information

Course 10 The PDH multiplexing hierarchy.

Course 10 The PDH multiplexing hierarchy. Course 10 The PDH multiplexing hierarchy. Zsolt Polgar Communications Department Faculty of Electronics and Telecommunications, Technical University of Cluj-Napoca Multiplexing of plesiochronous signals;

More information

Motion Video Compression

Motion Video Compression 7 Motion Video Compression 7.1 Motion video Motion video contains massive amounts of redundant information. This is because each image has redundant information and also because there are very few changes

More information

VU Mobile Powered by S NO Group

VU Mobile Powered by S NO Group Question No: 1 ( Marks: 1 ) - Please choose one A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register.

More information

OMS Based LUT Optimization

OMS Based LUT Optimization International Journal of Advanced Education and Research ISSN: 2455-5746, Impact Factor: RJIF 5.34 www.newresearchjournal.com/education Volume 1; Issue 5; May 2016; Page No. 11-15 OMS Based LUT Optimization

More information