CMOS Video Cameras and EECS 452

Size: px
Start display at page:

Download "CMOS Video Cameras and EECS 452"

Transcription

1 CMOS Video Cameras and EECS 452 K.Metzger, February 24, 2009 Figure 1: C3088/OV6620 CMOS video camera mounted on Spartan-3 Starter Board via connector B1. 1 Introduction Several past EECS 452 projects have made use of video cameras. A significant amount of project time was consumed researching possibilities, choosing a camera, physically interfacing it to either a DSK or FPGA and then programming and/or designing support logic. At this point the team was ready to get on with their project in the time remaining in the semester. Usually not much. There are a number of significant steps involved in going from saying "I m going to locate tennis balls." and actually getting an image on which to start trying. The primary purpose of this note to gather in one place useful overview information about video options that might be useful to an EECS 452 project. The information in this note can be augmented by studying the relevant data sheets, 1

2 searching out information on the web and experimenting with actual hardware. I have learning exercise level OV6620 VHDL support that can be had for the asking. It was written mostly to help me learn what is involved working with these small cameras. A useful source of information for vision oriented student projects can be found at I have had at least three groups come by my office this semester to ask about cameras and their use. I ve given out copies of a CD holding materials that I ve collected and the VHDL for my camera/monitor experimental set up which I also demonstrated. Unfortunately there isn t any real way to open-the-hood and see what s going on inside. It seemed to me that a more coherent presentation would be useful, hence this document. The writing is a bit on the rough side and the content could be complete. It was written/assembled in a two day period at the start of Spring Break. If it proves useful and desirable more effort will be put into it for possible use by future teams. For now, it contains much more information on the topic than was available for any previous EECS 452 video oriented project team at the start of their project. By a considerable amount. 2 A short walk through Scene Camera Interface Frame Buffer DSP Frame Buffer Interface Display. 1. Scene content and lighting (sun, incadencent, florescent, etc) will have a major effect on an image s color quality. What the camera sees is generally not what the user sees, color wise. This is because of the adaptability of the human eye/brain. We see what we expect to see. In the old days, photographers used films matched to the color temperature of the expected lighting. There existed separate films to be used in daylight or in incandescent lightening. Modern digital cameras monitor the light characteristics and adjust their color sensitivity accordingly. Generally, but not always, this works well. 2. A camera has a lens that focuses an image onto a CMOS imaging surface. The surface contains photosensitive diodes. These accumulate charge depending on the light falling on them. A picture is started by clearing the stored charge. The diodes are allowed to accumulate charge. Thses charges can be read and transferred into other non-light sensitive diodes or transistors effecting an electronic shutter. 3. The image frame is organized in terms of rows of pixels. Some of the photodiodes are sensitive to red light, some to green and the remainder to blue. The color sensitivities are commonly arranged in a specific pattern 2

3 (Bayer). The Bayer pattern uses 50% green sensitive pixels, 25% red sensitive pixels and 25% blue sensitive pixels (individually). The camera electronics interpolates these pixels in order to generate a full color image. There is a loss of resolution, compared to a black and white image generated using the same pixel information. "The Bayer arrangement of color filters on the pixel array of an image sensor." Image and text from the Wikipedia. 4. The image frame is scanned out to the outside world. Typically by the camera itself. This has to be done in a timely manner before the charges on the photodiodes deteriorate significantly. The data is interpolated and reformatted in this process. 5. A typical CMOS camera to user interface has 8 or 16 lines for pixel data, a clock, and synchronization signals. Synchronization signals typically include start of frame and start of scan line (CMOS sensor row). Most CMOS camera are configured using a I2C or a similar (proprietary) interface. A reset line is also likely present. 6. The simple CMOS cameras available to us (i.e., left over from prior projects) encode the pixel color/intensity values using 8 bits of luminance information and 8 bits of chrominance information. It takes a bit of work to convert these values back to RGB. It is possible to scan the RGB values out as well but these generally use the Bayer pattern. In this case a pixel is either red, or green or blue only. The luminance values come from each pixel and can be used to generate a black and white image with higher resolution than has a full color picture. The chrominance (color) information results from an interpolation of near-by pixels. In some sense one is given 16 bits of combined information and is asked to extract three 8-bit color values. This is not likely to be an exact process. 3

4 7. If the image frame is not immediately consumed it must be placed into a more non-volatile memory where it is can be worked on. Quite often this memory is sized to hold two image frames. One portion being load while the other is being worked on. If the camera outpaces the processing one needs to either get a more powerful processor or perhaps drop the data rate by skipping frames. 8. The processing an image is application dependent. In normal operation (frame after frame) there isn t a lot of time to do much, using our hardware. Moving an image into the C5510 can be accomplished either using the S3SB via the McBSP (running a fire hose into a straw) or via a DYI or purchased DMA interface (garden hose). Either way frames likely will need to be skipped. 9. The remaining steps involve generating a display frame to be sent to a video monitor. 10. Displays can be generated on the fly for from information contained in a display buffer or from some combination. Most monitors have three analog color inputs, red, green and blue and two inputs for synchronization signals, vertical sync and horizontal sync. The vertical sync waveform signals the start of a display frame. The horizontal sync waveform signals the start of a row of pixels. 11. Almost all modern LCD monitors support a wide range of display sizes and have large significant image synchronization abilities. If driven using a somewhat off standard timing they generally will complain. The old analog monitors often could be easily damaged using out of tolerance timings. The above is a reasonably accurate broad stroke description of what happens going from camera to display via a processing application. Lots and lots of details need to be considered when creating a working system. When implementing your own system, your best friends will be the relevant data sheets/manuals and a systematic step-by-step implement-and-test process. 3 CMOS video cameras on hand OV6620 CMOS, nominally quarter VGA OV7620 CMOS, nominally VGA Samsung/Sparkfun, E700 (MagnaChip HV7131GP), VGA 4

5 We also have a Digilent VDEC1 composite video in to digital data stream converter. This has been used several time in conjunction with the Digilent Virtex-2 FPGA board. When reading data sheets (and any code that I supply) stay alert for inconsistencies and errors. For example, the OV6620 data sheet claims an image size of 101,376 pixel but specifies an frame size of = pixels. The values tripped up a student who didn t check the product value and assumed that the values were consistent. Projects are not required to use these specific cameras or camera interfaces. Other choices exist. In particular the Altera DE2 board used in EECS 270 has a composite video in. The follow on version, the DE2-70, has two such inputs. Potential image capture/processing units are: DIY frame buffer/spi, Spartan-3 Starter Board, Digilent XUP Virtex-2 Pro, Altera DE2 and DE2-70, Other? Some standard image sizes: Format h v pixel count VGA Quarter VGA XVGA When working with images keep in mind what you see and perceive in terms of color and what a camera sees and perceives are quite often different. Cameras and their images sizes: Camera frame dimensions pixels pixel clock OV MHz OV MHz E (?) MHz The pixel clock numbers for the OmniVision parts are for 16-bit pixels and are generated by the included interface board. The clock value doubles when using 8-bit pixels. Camera generated pixel rates are asynchronous to the S3SB clock. A clock boundary is crossed moving pixel data from the camera into the FPGA. Metastability can be a problem and should be taken into account. 5

6 Figure 2: C3088 camera board with OV6620 camera mounted along with the camera adapter board used to connect to the Spartan-3 Starter Board B1 connector. 3.1 OV6620 and OV7620 Requires a 5 Volt supply. However, the output drivers can be powered by 3.3 Volts allowing direct interfacing to the Spartan-3 Starter Board. Camera boards need to be modified to allow powering the output drivers using 3.3 Volts. The camera itself still needs to be powered using a 5 Volt supply. I have been modifying cameras on as a needed basis. Camera is configured using a I2C (?) interface. 3.2 Samsung E700 Camera is configured using a I2C interface. The user supplies the clock. A max 25 MHz clock is allowed resulting in a 30 frames per second image rate. The pixel clock is likely 12.5 MHz, the data is multiplexed giving a 25 MHz 8-bit byte rate. I think. Lower clocks can be used to drop the frame and pixel rate. I couldn t locate a minimum value. 6

7 GAMMA VTO DENB analog processing r g b Y Cb Cr mx mx ADC ADC formatter video port Y(7:0) *UV(7:0) column sense amp exposure detect white balance detect * Note: Outputs UV(7:0) are not available on the OV6120. row select (356x292) image array registers sys-clk video timing generator exposure control WB control SCCB interface 1/2 XVCLK1 PCLK FODD CHSYNC MIR HREF VSYNC FREZ FSIN PROG AGCEN FZEX AWB AWBTH/ AWBTM SIO-1 SIO-0 SBB Figure 3: Block diagram showing the internal organization of the OV6620 CMOS camera. From the OV6620 data manual. 7

8 Figure 4: Sparkfun breakout board with E700 camera mounted. Image from the Sparkfun catalog page. 4 Capturing frames Need to either process on the fly or capture a frame. Or perhaps, a portion of a frame. The Spartan-3 Starter Board has 1 Mbyte of 10 ns static RAM. This can be used to capture an image. Then the S3SB-McBSP link can be used to transfer the image into a file on the PC. One can use the USB-PC link to move images directly to a PC file and/or MATLAB. Students have captured images in the past. I presently don t have any VHDL and/or C and/or MATLAB code for doing this. I do have pieces that I would use were I to do this. At present, this is a task left as an exercise for the student. 4.1 Data formats The default format consists of Y/UV values. The Y refers to luminance (brightness) information and the UV values are chrominance values. U are blue-luminance values and V are red-luminance values (- mean minus here). Pixels arrive from the camera in 16-bit values the form YU, YV, YU, YV,.... In order to generate a RGB display pairs of these are used to generate two RGB pixels. One needs to study the camera data sheet intently to understand how to do this correctly. The luminance information changes pixel to pixel. The same B and R information is used to generate the RB components on two RGB pixels. The G value 8

9 Figure 5: E700 camera mounted on adapter board used to connect it to the Spartan-3 Starter Board B1 connector. is generated using the same U and V values and so also affects two RGB output pixels. Essentially the color information has less resolution than the intensity information. I found the data sheets confusing and had my problems with implementing the conversion from YUV to RGB. I found the task a challenge. The OV6620 cameras allow acquiring the raw RGB pixels. One could use these pretty much directly taking in account the Bayer pattern. I ve not tried this. I ve not checked the E700 data manual to see if this is an option, but I expect it to likely be. 4.2 Signals and their timing The basic set consists of: Reset: A signal to the camera placing it into a known state. The OmniVision cameras are put into 16-bit bus mode by reset. Pixel clock: Generated by the camera in order allow clocking the data off of the pixel bus. Pixel bus: The pixel information. The OV cameras can be operated in either 16 or 8 bit mode. The 8-bit bus data is clocked at twice the rate as used with the 16-bit bus. The E700 uses a 8-bit bus. 9

10 Pixel Array 652 x 492 Row Decoder Timing Control Config Registers I2C Slave RESETB MCLK ENB SCK SDA Test Logic Column CDS 10bit ADC PGA RGB Gain Auto White Balance Auto Exposure Control Gamma Color Interpolation Color Correction & Color Space Conversion YCbCr Digital Gain Control Output Formatting VCLK Y[7:0] C[7:0] VSYNC HSYNC Figure 6: Block diagram showing the internal organization of the HV7131GP CMOS camera used in the Samsung E700 cell phone camera. From the HV131GP data manual. The Y and C lines are time multiplexed onto a single set of 8 pins. T clk PCLK HREF T su T hd Y[7:0] U Y V Y repeat for all data bytes Pixel Data 8-bit Timing (PCLK rising edge latches data bus) Figure 7: OV6620 pixel timing diagram. From the OV6620 data manual. 10

11 H ref: Indicates the start of a row of pixels. V sync: Indicates the start of a new frame. Figure 7 shows the timing associated with scanning out a single row using 8 bit pixels. The pixel data is sent out starting UYVY.... The pixel clock period is nominally 56 ns and the maximum value of T su and T hd is 15 ns. 4.3 Frame buffer For the OV6620 pixel rate is nominally 8.9 MHz and a new frame is generated approximately seconds (60.1 Hz frame rate). A frame contains pixels. How much memory is needed? Should one implement a DYI frame buffer on a separate board? Should one use two buffers, one to hold a frame while the other is being loaded with a new frame? Things to think about. The SRAM memory on the S3SB is organized as two banks of 256K of 16-bit words. With reasonable care it should be possible to make use of up to eight OV6620 frames. Or two E700 or OV7620 frames. If two 8-bit pixels are placed into a 16-bit word then the data rate into memory is about 5M words per second, for the OV Digilent VDEC1 Video Decoder Board Camera to be supplied extra. Uses a Hirose FX2 data connector. Compatible with the Virtex-2 FPGA board and the Nexys-2. This board has been used by three past projects, with reasonable success. The ADV7183 is configured... To be added someday. WARNING: be very careful when assigning pins in your FPGA design based on the pins on the VDEC1 schematic! I ve not checked but they are likely to be mirror imaged compared to the pin names on the FPGA board with even and odd possibly being interchanged as well. There is actually a good reason why this might have been done. 4.5 Altera DE2 and DE2-70 These boards have not been previously used by an EECS 452 project. The DE2 has support for one video input channel. The DE2-70 has support for two input video channels. The Altera DE2 is used in EECS course and there are boards available that can be borrowed for use by an EECS 452 project. The DE2 uses the... video decoder chip. 11

12 Figure 8: VDEC1 Video Decoder board and associated block diagram. Compatible camera to supplied by the user. Images from the Digilent web pages. The DE2-70 uses the... video decoder chip. 5 Displaying an image Something left to write about. Not much more complicated than was done in lab. A single entity was used to generate the sync pulses and the addresses of the next pixel to be sent to the monitor. 12

13 13 Figure 9: Block diagram of the Analog Devices ADV7183 Multiformat SDTV Video Decoder. From the Analog Devices ADV7183 data manual. AIN1 AIN12 CVBS S-VIDEO YPrPb INPUT MUX SCLK SDA ALSB CLAMP CLAMP CLAMP A/D A/D A/D SYNC PROCESSING AND CLOCK GENERATION SERIAL INTERFACE CONTROL AND VBI DATA DATA PREPROCESSOR DECIMATION AND DOWNSAMPLING FILTERS SYNC AND CLK CONTROL ADV7183B CONTROL AND DATA CHROMA DIGITAL FINE CLAMP LUMA DIGITAL FINE CLAMP F SC RECOVERY CHROMA DEMOD STANDARD DEFINITION PROCESSOR LUMA FILTER SYNC EXTRACT CHROMA FILTER VBI DATA RECOVERY MACROVISION DETECTION GAIN CONTROL LINE LENGTH PREDICTOR GAIN CONTROL GLOBAL CONTROL STANDARD AUTODETECTION LUMA RESAMPLE RESAMPLE CONTROL CHROMA RESAMPLE LUMA 2D COMB (4H MAX) L-DNR AV CODE INSERTION CTI C-DNR CHROMA 2D COMB (4H MAX) SYNTHESIZED LLC CONTROL FREE RUN OUTPUT CONTROL 16 OUTPUT FORMATTER 8 8 PIXEL DATA HS VS FIELD LLC1 LLC2 SFL INTRQ

14 6 My practice video VHDL Camera0Top CamCom OV6620 VGAtiming DisplayMan MemManager Figure 10: OV6620 camera in to video display VHDL modules. Seven segment display not shown. The goal was to use the C3088/OV6620 as a video camera with the video being displayed on a computer monitor. The main challenges were: moving pixels from the camera output into a display memory. converting the camera Y UV pixel format into RGB pixels for the monitor. moving data from the frame memory to the display. managing the frame memory. I cheated and did not synchronize the framing of the data between the camera and the display monitor. Pixels are written into the frame memory as they arrive from the camera and are sent to the display as needed by the monitor. In a real sense the frame buffer is used to convert between frame rates. It was felt that this was a reasonable first cut solution for dealing with non-synchronous pixel rates. The result works well and thinking more about it, I don t know what else one would rationally do otherwise. My original effort used a 16-bit pixel interface adapter to go from the camera board to the S3SB B1. This was later replaced by a 8-bit pixel interface board which also allowed generation of 8-bit VGA output, something the S3SB does not have provision for. This board was also designed to allow use with the E700 camera. 6.1 CamCom This entity is used to interact with a user via the S3SB slide switches, push buttons, LEDs and seven-segment display. 14

15 When the OmniVision cameras are first powered or reset they goe into 16-bit mode. The camera control subsystem is initialized such that all that needs to be done in order to switch into 8 bit mode is to push push button 0. A some creaky and inelegant procedure however it was quick and expedient. Someday to be replaced. This interface was intended to allow an experimenter to have read and access to the command/control registers contained on the OV cameras. They were meant to allow one to ask and answer questions along the lines of What happens if...?. 6.2 OV620 Handles the transition between the clock used by the camera and the clock used by the S3SB. Accepts two 16-bit frames and converts into two 8-bit RGB pixels that are sent to the memory manager as a 16-bit word to be written into the frame memory. This module also generates the frame address assuming a display. It also flips the image in order to make it show right side up. A simple, nearest 1/16th, approximation to values found in a Xilinx application note is used to convert Y/UV values to RGB values. Values were sign extended and added/subtracted in combinatorial fashion in order to keep the computational time to a minimum. 6.3 VGAtiming The display parameters are pretty much those used in lab, a 60 MHz frame rate and a 25 MHz pixel clock. This module generates the vertical sync and horizontal sync pulses as well as the horizontal and vertical components of the address of the next pixel to be displayed. The VGA pixel rate is 25 MHz. 6.4 DisplayMan Picks up 16-bit words containing pixel pairs and sends them to the VGA sequentially. The 8-bit values are unpacked into 3 bits of red, 3 bits of green and 2 bits of blue. 6.5 MemManager The Spartan-3 Starter Board contains 1M bytes of static RAM. This organized as two banks of 256K 16-bit words. The two banks are not totally independent and 15

16 Figure 11: Lighting and whatever caused my grey sweater to show as blue. It shows grey/green at night when the sun has gone down. Image is placed into the top left corner of the display buffer. Whatever was left over in memory from whenever was used to generate the rest of the display. The C5510 DSK just happened to be sitting there when I took the picture. It had no other involvement. can be used to form 32-bit words. The SRAM chips have a nominal minimum 10 ns cycle time. In actual practice the cycle time needs to be somewhat larger. The frame memory was configured as pixels. The memory manager allows the camera to view memory as write only and the display manager as read only. The camera pixel rate is 8.86 MHz. The monitor pixel rate is 25 MHz. The memory manager deals with it. 7 Summary Capturing an image from a video camera is a non-trivial task. It is a task that has been accomplished a number of times in EECS 452. Almost always this hasn t been without significant effort. The data rates are high and the amounts of data are relatively large compared to the resources available on the lab equipment. Asking for high resolution either on input or output comes with an associated 16

17 price. We have become accustomed to high quality video on our PCs. There is a significant history and infrastructure that has evolved behind this. The processors used in a modern graphics interface are so powerful that researchers are networking these processors together to create state-of-the-art super computers. All that we have in the lab are low end FPGAs and a garden variety DSP system. These can accomplish a lot but they are not in the same league. What follows is advice. It might be good advice, it might be bad advice. I have good intentions, but the decisions (and the consequences) are your s to make. Choose your camera carefully. Generally this will determine the number of pixels that need to be contained in the frame buffer and the pixel data rate. One does not have to accept all frames. Don t try the system as a single entity. Divide and conquer. Try to organize the design in self contained units that share a minimum amount of information between each other. Design for testing. Whenever reasonable, test individual section separately. Start simple then add complexity evolving the system into its final form. Read the data sheets/manuals carefully BEFORE you start designing. Try to understand what is being said, and perhaps what isn t. Pay close attention to timings, which edges are doing what and set-up and hold times. 8 References OmniVision Advanced Information Preliminary V6620/OV6120. CMOS Image Sensor with Image Signal Processing HV7131GP V3.4, MagnaChip. Multiformat SDTV Video Decoder ADV7183B data manual, Analog Devices. Chu s book about VHDL on the Spartan-3 Starter Board. There is at least one copy on reserve in the library. Pedroni s VHDL book. A must to own! Copies are on reserve in the library. Google. Choosing the right key words can lead to a wealth of information. A Camera adapter board for S3SB A PC board was designed and manufactured to interface the OmniVision camera as well as the E700 to the S3SB B1 connector. In addition an 8-bit resistor only multichannel D/A converter is included for generating multi-bit color. (The S3SB 17

18 Figure 12: Schematic for the OV/E700 adapter board. Starter Board connector B1. Plugs into Spartan-3 18

19 Figure 13: The OV/E700 adapter board, left is camera side view, right is B1 plug side view. uses one bit each for R, G and B.) The red D/A channel has 3 bits, the green channel has 3 bits and the blue channel has 2 bits. The resulting display is reasonably acceptable. Four B1 camera interface boards are available. Two are configured for use with the OmniVision cameras. These have been tested. One board is configured for use with the E700 camera. This has not been tested. The last board does not have any components mounted. The boards can be set up to work with either camera, I ve just haven t done so. JP1 contains the pins that plug into the S3SB socket B1. Because of physical laws the even pins on JP1 connect to the odd pins on B1. As should be expected the odd pins on JP1 connect to the even pins on B1. JP3 and JP4 are used for the E700. Be careful to plug the Sparkfun adapter board in correctly! You have two choices. JP2 is used for the OV camera. The camera goes up. Plugging in the camera should be hazard free. Note: only a 3.3 Volt driver modified camera board should be used! If you purchase your own, modify it! JP5 is to allow connecting the analog composite luminance signal to a monitor. This allows black and white monitoring of the camera s operation. X1 is the 16 pin connector that goes to the monitor. The board is designed to support only 8-bit transfers for the OV. The OV comes up in 16-bit mode so it is necessary to use the SPI interface to set 8-bit mode. 19

20 resistor function value (ohms) R1 red2 511 R2 red R3 red R4 grn R5 grn R6 grn2 511 R7 blu R8 blu1 511 R9 H sync 100 R10 V sync 100 R11 SDA 200 R12 SCL 200 Figure 14: Resistor signals and Ohm values. The E700 only uses 8-bit pixel transfers. This camera should simply power up and work. Have not worked out the UCF pin assignments for the E700 camera. To be done. It was my intention that the pin assignments and usage would be very similar. 20

21 # OV Camera board in B1 # NET "camera<0>" LOC = "E10" IOSTANDARD = LVCMOS33; # Y0 NET "camera<1>" LOC = "T3" IOSTANDARD = LVCMOS33; # Y1 NET "camera<2>" LOC = "C11" IOSTANDARD = LVCMOS33; # Y2 NET "camera<3>" LOC = "N11" IOSTANDARD = LVCMOS33; # Y3 NET "camera<4>" LOC = "D11" IOSTANDARD = LVCMOS33; # Y4 NET "camera<5>" LOC = "P10" IOSTANDARD = LVCMOS33; # Y5 NET "camera<6>" LOC = "C12" IOSTANDARD = LVCMOS33; # Y6 NET "camera<7>" LOC = "R10" IOSTANDARD = LVCMOS33; # Y7 # NET "cam_pclk" CLOCK_DEDICATED_ROUTE = FALSE; NET "cam_pwdn" LOC = "D12" IOSTANDARD = LVCMOS33; # PWDN NET "cam_rst" LOC = "T7" IOSTANDARD = LVCMOS33; # RST NET "cam_sdas" LOC = "E11" IOSTANDARD = LVCMOS33 PULLUP; # SDAS #NET "cam_fodd" LOC = "R7" IOSTANDARD = LVCMOS33; # FODD NET "cam_scl" LOC = "N6" IOSTANDARD = LVCMOS33; # SCL NET "cam_href" LOC = "B16" IOSTANDARD = LVCMOS33;# HREF NET "cam_pclk" LOC = "R3" IOSTANDARD = LVCMOS33; # PCLK NET "cam_vsyn" LOC = "M6" IOSTANDARD = LVCMOS33; # VSYN # Camera board VGA connector # NET "red2<0>" LOC = "C15" IOSTANDARD = LVCMOS33; NET "red2<1>" LOC = "C16" IOSTANDARD = LVCMOS33; NET "red2<2>" LOC = "D15" IOSTANDARD = LVCMOS33; NET "grn2<0>" LOC = "D16" IOSTANDARD = LVCMOS33; NET "grn2<1>" LOC = "E15" IOSTANDARD = LVCMOS33; NET "grn2<2>" LOC = "E16" IOSTANDARD = LVCMOS33; NET "blu2<1>" LOC = "F15" IOSTANDARD = LVCMOS33; NET "blu2<2>" LOC = "G15" IOSTANDARD = LVCMOS33; NET "hs2" LOC = "G16" IOSTANDARD = LVCMOS33; NET "vs2" LOC = "H15" IOSTANDARD = LVCMOS33; Figure 15: S3SB UCF file additions for use with the OV camera boards. 21

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4) ECE 574: Modeling and synthesis of digital systems using Verilog and VHDL Fall Semester 2017 Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

SparkFun Camera Manual. P/N: Sense-CCAM

SparkFun Camera Manual. P/N: Sense-CCAM SparkFun Camera Manual P/N: Sense-CCAM Revision 0.1b, Aug 14, 2006 Overview The Spark Fun SENSE-CCAM camera is a 640x480 [vga resolution] camera with an 8 bit digital interface. The camera is based on

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

Chrontel CH7015 SDTV / HDTV Encoder

Chrontel CH7015 SDTV / HDTV Encoder Chrontel Preliminary Brief Datasheet Chrontel SDTV / HDTV Encoder Features 1.0 GENERAL DESCRIPTION VGA to SDTV conversion supporting graphics resolutions up to 104x768 Analog YPrPb or YCrCb outputs for

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

SingMai Electronics SM06. Advanced Composite Video Interface: HD-SDI to acvi converter module. User Manual. Revision 0.

SingMai Electronics SM06. Advanced Composite Video Interface: HD-SDI to acvi converter module. User Manual. Revision 0. SM06 Advanced Composite Video Interface: HD-SDI to acvi converter module User Manual Revision 0.4 1 st May 2017 Page 1 of 26 Revision History Date Revisions Version 17-07-2016 First Draft. 0.1 28-08-2016

More information

Graduate Institute of Electronics Engineering, NTU Digital Video Recorder

Graduate Institute of Electronics Engineering, NTU Digital Video Recorder Digital Video Recorder Advisor: Prof. Andy Wu 2004/12/16 Thursday ACCESS IC LAB Specification System Architecture Outline P2 Function: Specification Record NTSC composite video Video compression/processing

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core Video overlays on 24-bit RGB or YCbCr 4:4:4 video Supports all video resolutions up to 2 16 x 2 16 pixels Supports any

More information

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline EECS150 - Digital Design Lecture 12 - Video Interfacing Oct. 8, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

Lecture 14: Computer Peripherals

Lecture 14: Computer Peripherals Lecture 14: Computer Peripherals The last homework and lab for the course will involve using programmable logic to make interesting things happen on a computer monitor should be even more fun than the

More information

EECS150 - Digital Design Lecture 12 Project Description, Part 2

EECS150 - Digital Design Lecture 12 Project Description, Part 2 EECS150 - Digital Design Lecture 12 Project Description, Part 2 February 27, 2003 John Wawrzynek/Sandro Pintz Spring 2003 EECS150 lec12-proj2 Page 1 Linux Command Server network VidFX Video Effects Processor

More information

Pivoting Object Tracking System

Pivoting Object Tracking System Pivoting Object Tracking System [CSEE 4840 Project Design - March 2009] Damian Ancukiewicz Applied Physics and Applied Mathematics Department da2260@columbia.edu Jinglin Shen Electrical Engineering Department

More information

Lab Assignment 2 Simulation and Image Processing

Lab Assignment 2 Simulation and Image Processing INF5410 Spring 2011 Lab Assignment 2 Simulation and Image Processing Lab goals Implementation of bus functional model to test bus peripherals. Implementation of a simple video overlay module Implementation

More information

Section 14 Parallel Peripheral Interface (PPI)

Section 14 Parallel Peripheral Interface (PPI) Section 14 Parallel Peripheral Interface (PPI) 14-1 a ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance Monitor JTAG/ Debug Core Processor LD 32 LD1 32 L1 Data Memory SD32 DMA Mastered

More information

MACROVISION RGB / YUV TEMP. RANGE PART NUMBER

MACROVISION RGB / YUV TEMP. RANGE PART NUMBER NTSC/PAL Video Encoder NOT RECOMMENDED FOR NEW DESIGNS NO RECOMMENDED REPLACEMENT contact our Technical Support Center at 1-888-INTERSIL or www.intersil.com/tsc September 2003 DATASHEET FN4284 Rev 6.00

More information

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11)

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11) Chapter 5 VGA Port The Spartan-3 Starter Kit board includes a VGA display port and DB15 connector, indicated as 5 in Figure 1-2. Connect this port directly to most PC monitors or flat-panel LCD displays

More information

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI)

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI) The World Leader in High Performance Signal Processing Solutions Section 5 Parallel Peripheral Interface (PPI) L Core Timer 64 Performance Core Monitor Processor ADSP-BF533 Block Diagram Instruction Memory

More information

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley Group 1 C.J. Silver Geoff Jean Will Petty Cody Baxley Vision Modification System Image change functions Flip, Colorinversion, Heat Map Function control via UI controller Portable, helmet-mounted screen

More information

Checkpoint 2 Video Encoder

Checkpoint 2 Video Encoder UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE ASSIGNED: Week of 3/7 DUE: Week of 3/14, 10 minutes after start (xx:20) of your assigned

More information

Smart Night Light. Figure 1: The state diagram for the FSM of the ALS.

Smart Night Light. Figure 1: The state diagram for the FSM of the ALS. Smart Night Light Matt Ball, Aidan Faraji-Tajrishi, Thomas Goold, James Wallace Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester,

More information

SingMai Electronics SM06. Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module. User Manual. Revision th December 2016

SingMai Electronics SM06. Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module. User Manual. Revision th December 2016 SM06 Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module User Manual Revision 0.3 30 th December 2016 Page 1 of 23 Revision History Date Revisions Version 17-07-2016 First Draft. 0.1

More information

AC : DIGITAL DESIGN MEETS DSP

AC : DIGITAL DESIGN MEETS DSP AC 2011-754: DIGITAL DESIGN MEETS DSP Christopher S Greene, University of Saint Thomas Christopher Greene received his Ph.D. in Electrical Engineering from the Massachusetts Institute of Technology (MIT)

More information

ESI VLS-2000 Video Line Scaler

ESI VLS-2000 Video Line Scaler ESI VLS-2000 Video Line Scaler Operating Manual Version 1.2 October 3, 2003 ESI VLS-2000 Video Line Scaler Operating Manual Page 1 TABLE OF CONTENTS 1. INTRODUCTION...4 2. INSTALLATION AND SETUP...5 2.1.Connections...5

More information

HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P]

HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P] HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P] Hardware specifications Rev. Net Vision Co., Ltd. SVO-03 U&P hardware specifications Revision history Revision Date Content Charge 1.0 2016/06/08 First edition

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

By Tom Kopin CTS, ISF-C KRAMER WHITE PAPER

By Tom Kopin CTS, ISF-C KRAMER WHITE PAPER Troubleshooting HDMI with 840Hxl By Tom Kopin CTS, ISF-C AUGUST 2012 KRAMER WHITE PAPER WWW.KRAMERELECTRONICS.COM TABLE OF CONTENTS overview...1 resolutions...1 HDCP...2 Color depth...2 color space...3

More information

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, 2012 Fig. 1. VGA Controller Components 1 VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University

More information

1 Terasic Inc. D8M-GPIO User Manual

1  Terasic Inc. D8M-GPIO User Manual 1 Chapter 1 D8M Development Kit... 4 1.1 Package Contents... 4 1.2 D8M System CD... 5 1.3 Assemble the Camera... 5 1.4 Getting Help... 6 Chapter 2 Introduction of the D8M Board... 7 2.1 Features... 7 2.2

More information

CH7021A SDTV / HDTV Encoder

CH7021A SDTV / HDTV Encoder Chrontel SDTV / HDTV Encoder Brief Datasheet Features VGA to SDTV/EDTV/HDTV conversion supporting graphics resolutions up to 1600x1200 HDTV support for 480p, 576p, 720p, 1080i and 1080p Support for NTSC,

More information

IMS B007 A transputer based graphics board

IMS B007 A transputer based graphics board IMS B007 A transputer based graphics board INMOS Technical Note 12 Ray McConnell April 1987 72-TCH-012-01 You may not: 1. Modify the Materials or use them for any commercial purpose, or any public display,

More information

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley Group 1 C.J. Silver Geoff Jean Will Petty Cody Baxley Vision Enhancement System 3 cameras Visible, IR, UV Image change functions Shift, Drunken Vision, Photo-negative, Spectrum Shift Function control via

More information

ECE 372 Microcontroller Design

ECE 372 Microcontroller Design E.g. Port A, Port B Used to interface with many devices Switches LEDs LCD Keypads Relays Stepper Motors Interface with digital IO requires us to connect the devices correctly and write code to interface

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface

More information

Lab # 9 VGA Controller

Lab # 9 VGA Controller Lab # 9 VGA Controller Introduction VGA Controller is used to control a monitor (PC monitor) and has a simple protocol as we will see in this lab. Kit parts for this lab 1 A closer look VGA Basics The

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging Compatible Windows Software GLOBAL LAB Image/2 DT Vision Foundry DT3162 Variable-Scan Monochrome Frame Grabber for the PCI Bus Key Features High-speed acquisition up to 40 MHz pixel acquire rate allows

More information

VGA 8-bit VGA Controller

VGA 8-bit VGA Controller Summary This document provides detailed reference information with respect to the VGA Controller peripheral device. Core Reference CR0113 (v3.0) March 13, 2008 The VGA Controller provides a simple, 8-bit

More information

PO3030K 1/6.2 Inch VGA Single Chip CMOS IMAGE SENSOR. Last update : 20. Sept. 2004

PO3030K 1/6.2 Inch VGA Single Chip CMOS IMAGE SENSOR. Last update : 20. Sept. 2004 3030K Data sheet (Brief) ixelplus Co.,Ltd 3030K 1/6.2 nch VGA Single Chip CMS MAGE SENSR Last update : 20. Sept. 2004 XELLUS C,. LTD Kyunggi Verture B/D 502,#1017 ngae Dong aldalku Suwon city Kyunggido,442070

More information

YSC -HD-AK1 HDMI / HD-SDI

YSC -HD-AK1 HDMI / HD-SDI Remote Head Kamera 1/2.7 CMOS 2.14 Megapixel Sensor image memory Video Output 1 HDMI Video Output 2 HD-SDI Video Output 3 HD-SDI signal system 1080p/30fps YSC-HD-AK1 HDMI / HD-SDI POWER HDMI HD-SDI USB

More information

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018 Petter Källström, Mario Garrido September 10, 2018 Abstract In the initialization of the DE2-115 (after you restart it), an image is copied into the SRAM memory. What you have to do in this lab is to read

More information

An FPGA Based Solution for Testing Legacy Video Displays

An FPGA Based Solution for Testing Legacy Video Displays An FPGA Based Solution for Testing Legacy Video Displays Dale Johnson Geotest Marvin Test Systems Abstract The need to support discrete transistor-based electronics, TTL, CMOS and other technologies developed

More information

Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are

Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are 2 Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are seeing the development of new connection methods within

More information

Using HERON modules with FPGAs to connect to FPDP

Using HERON modules with FPGAs to connect to FPDP HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk www.hunteng.co.uk www.hunt-dsp.com Using

More information

Fingerprint Verification System

Fingerprint Verification System Fingerprint Verification System Cheryl Texin Bashira Chowdhury 6.111 Final Project Spring 2006 Abstract This report details the design and implementation of a fingerprint verification system. The system

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

Checkpoint 2 Video Interface

Checkpoint 2 Video Interface University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 Fall 1998 R. Fearing and Kevin Cho 1. Objective Checkpoint 2 Video Interface

More information

Omni. isiontm. Advanced Information Preliminary Datasheet

Omni. isiontm. Advanced Information Preliminary Datasheet Omni General Description isiontm The OV7635 (color) and OV7135 (black and white) CMOS CAMERACHIPS TM are single-chip video/imaging camera devices designed to provide a high level of functionality in a

More information

Description. July 2007 Rev 7 1/106

Description. July 2007 Rev 7 1/106 VL6624 VS6624 1.3 Megapixel single-chip camera module Preliminary Data Features 1280H x 1024V active pixels 3.0 µm pixel size, 1/3 inch optical format RGB Bayer color filter array Integrated 10-bit ADC

More information

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver EM MICROELECTRONIC - MARIN SA 2, 4 and 8 Mutiplex LCD Driver Description The is a universal low multiplex LCD driver. The version 2 drives two ways multiplex (two blackplanes) LCD, the version 4, four

More information

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0 Written by Matteo Vit, R&D Engineer Dave S.r.l. Approved by Andrea Marson, CTO Dave S.r.l. DAVE S.r.l. www.dave.eu VERSION: 1.0.0 DOCUMENT CODE: AN-ENG-001 NO. OF PAGES: 8 AN-ENG-001 Using the AVR32 SoC

More information

15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833

15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833 15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833 Operation Manual Introduction This monitor is an open frame LCD Panel monitor. It features the VESA plug & play system which allows the monitor

More information

Section 4. Display Connector

Section 4. Display Connector Section 4. Display Connector Display Connector Introduction.................. 4-2 Signal Timing........................... 4-3 VGA Mode Display Timing.................. 4-4 Extended Graphics Mode Display

More information

Video Graphics Array (VGA)

Video Graphics Array (VGA) Video Graphics Array (VGA) Chris Knebel Ian Kaneshiro Josh Knebel Nathan Riopelle Image Source: Google Images 1 Contents History Design goals Evolution The protocol Signals Timing Voltages Our implementation

More information

Chapter 3 Fundamental Concepts in Video. 3.1 Types of Video Signals 3.2 Analog Video 3.3 Digital Video

Chapter 3 Fundamental Concepts in Video. 3.1 Types of Video Signals 3.2 Analog Video 3.3 Digital Video Chapter 3 Fundamental Concepts in Video 3.1 Types of Video Signals 3.2 Analog Video 3.3 Digital Video 1 3.1 TYPES OF VIDEO SIGNALS 2 Types of Video Signals Video standards for managing analog output: A.

More information

Lab 6: Video Game PONG

Lab 6: Video Game PONG CpE 487 Digital Design Lab Lab 6: Video Game PONG 1. Introduction In this lab, we will extend the FPGA code we developed in Labs 3 and 4 (Bouncing Ball) to build a simple version of the 1970 s arcade game

More information

Display Technology. Cathode Ray Tube. Images stolen from various locations on the web...

Display Technology. Cathode Ray Tube. Images stolen from various locations on the web... Display Technology Cathode Ray Tube Images stolen from various locations on the web... Cathode Ray Tube Raster Scanning Electron Gun Beam Steering Coils 1 Color Shadow Mask and Aperture Grille Liquid Crystal

More information

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005 Lancelot VGA video controller for the Altera Nios II processor. V4.0 December 16th, 2005 http://www.microtronix.com 1. Description Lancelot is a VGA video controller for the Altera Nios (II) processor.

More information

SNG-2150C User s Guide

SNG-2150C User s Guide SNG-2150C User s Guide Avcom of Virginia SNG-2150C User s Guide 7730 Whitepine Road Revision 001 Richmond, VA 23237 USA GENERAL SAFETY If one or more components of your earth station are connected to 120

More information

1. Synopsis: 2. Description of the Circuit:

1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

Camera Controller Project Report - EDA385. Einar Vading, ael09eva Alexander Nässlander, ada09ana Carl Cristian Arlock, ada07car November 1, 2013

Camera Controller Project Report - EDA385. Einar Vading, ael09eva Alexander Nässlander, ada09ana Carl Cristian Arlock, ada07car November 1, 2013 Camera Controller Project Report - EDA385 Einar Vading, ael09eva Alexander Nässlander, ada09ana Carl Cristian Arlock, ada07car November 1, 2013 Abstract This report is on an implementation of camera control

More information

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

XC-77 (EIA), XC-77CE (CCIR)

XC-77 (EIA), XC-77CE (CCIR) XC-77 (EIA), XC-77CE (CCIR) Monochrome machine vision video camera modules. 1. Outline The XC-77/77CE is a monochrome video camera module designed for the industrial market. The camera is equipped with

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA

Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA NASIR MEHMOOD 1, JENS OGNIEWSKI AND VINODH RAVINATH 1 Department of Electrical Engineering Air University PAF Complex, Sector

More information

MultiScopeLite. Users Guide. Video Measurement and Calibration Tools. RHMG Software Tools Library 1/18/2013. Bill and Scott Werba

MultiScopeLite. Users Guide. Video Measurement and Calibration Tools. RHMG Software Tools Library 1/18/2013. Bill and Scott Werba MultiScopeLite Video Measurement and Calibration Tools Users Guide RHMG Software Tools Library 1/18/2013 Authors: Bill and Scott Werba Developer: Scott Werba Document ID: 3000-001 Revision: v1.0.4 Publication

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

VIDEO 101 LCD MONITOR OVERVIEW

VIDEO 101 LCD MONITOR OVERVIEW VIDEO 101 LCD MONITOR OVERVIEW This provides an overview of the monitor nomenclature and specifications as they relate to TRU-Vu industrial monitors. This is an ever changing industry and as such all specifications

More information

Parallel Peripheral Interface (PPI)

Parallel Peripheral Interface (PPI) The World Leader in High Performance Signal Processing Solutions Parallel Peripheral Interface (PPI) Support Email: china.dsp@analog.com ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance

More information

Block Diagram. deint_mode. line_width. log2_line_width. field_polarity. mem_start_addr0. mem_start_addr1. mem_burst_size.

Block Diagram. deint_mode. line_width. log2_line_width. field_polarity. mem_start_addr0. mem_start_addr1. mem_burst_size. Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC Supplied as human readable VHDL (or Verilog) source code pixin_ pixin_val pixin_vsync pixin_ pixin

More information

Teletext Inserter Firmware. User s Manual. Contents

Teletext Inserter Firmware. User s Manual. Contents Teletext Inserter Firmware User s Manual Contents 0 Definition 3 1 Frontpanel 3 1.1 Status Screen.............. 3 1.2 Configuration Menu........... 4 2 Controlling the Teletext Inserter via RS232 4 2.1

More information

12.1 Inch CGA EGA VGA SVGA LCD Panel - ID #492

12.1 Inch CGA EGA VGA SVGA LCD Panel - ID #492 12.1 Inch CGA EGA VGA SVGA LCD Panel - ID #492 Operation Manual Introduction This monitor is an open frame LCD Panel monitor. It features the VESA plug & play system which allows the monitor to automatically

More information

DATASHEET HMP8154, HMP8156A. Features. Ordering Information. Applications. NTSC/PAL Encoders. FN4343 Rev.5.00 Page 1 of 34.

DATASHEET HMP8154, HMP8156A. Features. Ordering Information. Applications. NTSC/PAL Encoders. FN4343 Rev.5.00 Page 1 of 34. NTSC/PAL Encoders NOT RECOMMENDED FOR NEW DESIGNS NO RECOMMENDED REPLACEMENT contact our Technical Support Center at 1-888-INTERSIL or www.intersil.com/tsc DATASHEET FN4343 Rev.5.00 The HMP8154 and HMP8156A

More information

CH7053A HDTV/VGA/ DVI Transmitter

CH7053A HDTV/VGA/ DVI Transmitter Chrontel Brief Datasheet HDTV/VGA/ DVI Transmitter FEATURES DVI Transmitter support up to 1080p DVI hot plug detection Supports Component YPrPb (HDTV) up to 1080p and analog RGB (VGA) monitor up to 1920x1080

More information

FPGA-BASED EDUCATIONAL LAB PLATFORM

FPGA-BASED EDUCATIONAL LAB PLATFORM FPGA-BASED EDUCATIONAL LAB PLATFORM Mircea Alexandru DABÂCAN, Clint COLE Mircea Dabâcan is with Technical University of Cluj-Napoca, Electronics and Telecommunications Faculty, Applied Electronics Department,

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are the digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs.

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

DT3130 Series for Machine Vision

DT3130 Series for Machine Vision Compatible Windows Software DT Vision Foundry GLOBAL LAB /2 DT3130 Series for Machine Vision Simultaneous Frame Grabber Boards for the Key Features Contains the functionality of up to three frame grabbers

More information

OV9650 Color CMOS SXGA (1.3 MegaPixel) CameraChip Implementation Guide

OV9650 Color CMOS SXGA (1.3 MegaPixel) CameraChip Implementation Guide APPLICATION NOTE Omni isiontm OV9650 Color CMOS SXGA (1.3 MegaPixel) CameraChip Implementation Guide Last Modified: 7 December 2004 Document Version: 1.1 Revision Number Date Revision 1.0 11/29/04 Initial

More information

EAN-Performance and Latency

EAN-Performance and Latency EAN-Performance and Latency PN: EAN-Performance-and-Latency 6/4/2018 SightLine Applications, Inc. Contact: Web: sightlineapplications.com Sales: sales@sightlineapplications.com Support: support@sightlineapplications.com

More information

Chapter 9 MSI Logic Circuits

Chapter 9 MSI Logic Circuits Chapter 9 MSI Logic Circuits Chapter 9 Objectives Selected areas covered in this chapter: Analyzing/using decoders & encoders in circuits. Advantages and disadvantages of LEDs and LCDs. Observation/analysis

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

The Project & Digital Video. Today. The Project (1) EECS150 Fall Lab Lecture #7. Arjun Singh

The Project & Digital Video. Today. The Project (1) EECS150 Fall Lab Lecture #7. Arjun Singh The Project & Digital Video EECS150 Fall2008 - Lab Lecture #7 Arjun Singh Adopted from slides designed by Greg Gibeling and Chris Fletcher 10/10/2008 EECS150 Lab Lecture #7 1 Today Project Introduction

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Application Note 20D45X Family

Application Note 20D45X Family IMAGING SOLUTIONS INC. Original Equipment Manufacturer Application Note 20D45X Family Prior to Using This Document: Videology reserves the right to modify the information in this document as necessary

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015 UG110 Version 1.0, June 2015 Introduction MIPI D-PHY Bandwidth Matrix Table User Guide As we move from the world of standard-definition to the high-definition and ultra-high-definition, the common parallel

More information

GALILEO Timing Receiver

GALILEO Timing Receiver GALILEO Timing Receiver The Space Technology GALILEO Timing Receiver is a triple carrier single channel high tracking performances Navigation receiver, specialized for Time and Frequency transfer application.

More information

New GRABLINK Frame Grabbers

New GRABLINK Frame Grabbers New GRABLINK Frame Grabbers Full-Featured Base, High-quality Medium and video Full capture Camera boards Link Frame Grabbers GRABLINK Full Preliminary GRABLINK DualBase Preliminary GRABLINK Base GRABLINK

More information