Efficient Parallel Scan Test Technique for Cores on AMBA-based SoC

Size: px
Start display at page:

Download "Efficient Parallel Scan Test Technique for Cores on AMBA-based SoC"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, Efficient Parallel Scan Test Technique for Cores on AMBA-based SoC Jaehoon Song, Jihun Jung, Dooyoung Kim, and Sungju Park Abstract Today s System-on-a-Chip (SoC) is designed with reusable IP cores to meet short time-tomarket requirements. However, the increasing cost of testing becomes a big burden in manufacturing a highly integrated SoC. In this paper, an efficient parallel scan test technique is introduced to minimize the test application time. Multiple scan enable signals are adopted to implement scan architecture to achieve optimal test application time for the test patterns scheduled for concurrent scan test. Experimental results show that testing times are considerably reduced with little area overhead. Index Terms AMBA, system-on-a-chip, scan test, IEEE 1500, parallel test, test time I. INTRODUCTION As deep submicron techniques are increasingly developed, it is possible to design and manufacture a System-on-a-Chip (SoC) comprised of various intellectual property (IP) cores while meeting short timeto-market requirements. Although the design time can be reduced by utilizing reusable IP cores, the testing time is significantly increased due to the high complexity of the SoC. Improving test quality while keeping testing costs low becomes crucial to survive in the emerging silicon Manuscript received Feb. 13, 2014; accepted Apr. 17, 2014 Dept. of Computer Science & Engineering, Hanyang University ERICA Campus, Ansan-si, Gyunggi-do, Korea {jhsong, bbatte, dykim, parksj}@mslab.hanyang.ac.kr This research was supported in part by the National Research Foundation of Korea (NRF) grant (MEST) (No. NRF- 2013R1A1A ). market. Modular test techniques can be used for the effective testing of IP cores embedded in an SoC. To apply and observe the test patterns for an SoC, Test Access Mechanism (TAM) and test wrapper have to be provided to establish test paths [1-4]. Automatic Test Equipment (ATE) is used as the source and sink of test patterns, and either IEEE 1500 or customized interface is adopted as the test wrapper [5]. There is no standard specification in designing the TAM. Dedicated TAM may be adopted [6, 7] or functional bus can be reused as the TAM in test mode [8-10]. In sequential test, each core is tested one by one, and regardless of the characteristics of each core, the TAM width is determined by the TAM width of the SoC including cores. In parallel test, several cores are tested simultaneously. A TAM is partitioned into several sub- TAMs, and each core is assigned to each sub-tam to optimally realize the parallel test schedule thus to achieve optimal test time [6-13]. Hierarchical and scalable TAM, which provides flexible access for multicore chip, has been developed [14]. To reduce test cost, many multi-site testing methods are also used at ATE level. The testing time for each core depends upon the length of the scan chain. In both sequential and parallel testing, the scan chains of each core have to be reconfigured according to the TAM width, thus the chains have to be well balanced to minimize scan testing time [11]. In general, as the TAM width assigned to each core increases, the length of the scan chain decreases resulting in reduced testing time. In scheduling scan test patterns, parallel scan test utilizes the unused TAM width to provide more scan chains hence to minimize the length of scan length.

2 346 JAEHOON SONG et al : EFFICIENT PARALLEL SCAN TEST TECHNIQUE FOR CORES ON AMBA-BASED SOC Multiple scan enable signals have been adopted to improve delay fault coverage [15, 16], but their use for the optimal application of scheduled parallel test patterns has not been addressed. In this paper, an efficient parallel scan test technique is introduced to apply scan test patterns optimally scheduled for cores embedded to AMBA-based SoC, where the functional AMBA bus is reused as scan test channel. The paper is organized as follows. Section 2 gives a brief description of test interfaces for AMBA-based SoC. In section 3, IEEE 1500 wrapped core design is described. Our AMBA based parallel scan test technique is precisely explained in section 4. Experimental results are given in section 5 followed by concluding remarks in section 6. Fig. 1. Example of AMBA system with the TIC. II. TEST INTERFACES FOR AMBA-BASED SOC A conventional AMBA-based system is comprised of Advanced High-performance Bus (AHB) and Advanced Peripheral Bus (APB) as shown in Fig. 1 [17]. The AHB and APB have separate read and write data buses for onchip transactions. The AHB interfaces high-speed cores such as a microprocessor, and the APB is used to interface any peripherals which have low-bandwidth and do not require the high performance of a pipelined bus interface [8]. An AHB-APB bridge must be adopted to adjust different speeds and protocols of the AHB and APB. The TIC IP core in Fig. 1 is a test interface controller for the AMBA-based system that performs basic read/write transactions as an AMBA bus master [17]. In order to access the external memory modules outside an AMBA-based SoC, unidirectional 32-bit address and bidirectional 32-bit data pins of the EBI are generally used. By utilizing the functional buses of the EBI and AMBA as test buses, no additional Test Access Mechanism is required. In general, scan test patterns are applied and observed simultaneously, but when the TIC is used as a test controller the bidirectional TBUS is shared by READ/WRITE operations, therefore the scan in and out cannot be performed simultaneously. As shown in Fig. 2, a MUX is adopted in the test mode to use EBI address pins as scan in channels and data pins as scan out channels [8]. Fig. 2. Enhanced TIC and EBI structure. This paper also takes the structural test architecture of Fig. 2 where each core is planned to be tested through multiple scan chains. III. IEEE 1500 WRAPPED CORES The IEEE 1500, an industry standard for core test, provides a test interface between core developers and users. Although core test wrappers, which consist of Wrapper Instruction Register (WIR), Wrapper Bypass Register (WBY) and Wrapper Boundary Register (WBR), are standardized, test controller and TAM are defined by users. TAM takes test patterns from the external test device and transfers to the core test wrappers, and conversely transfers test responses to the external test device. There are two test access terminals defined. One is mandatory Wrapper Serial Port (WSP) and the other is Wrapper Parallel Port (WPP). Unlike the IEEE , wherein TAP control is defined as a standard, the test control logic of the IEEE 1500 is supposed to be

3 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, Fig. 3. Data registers of IEEE Fig. 5. Change in SelectWIR signal for each TAP state shown in Fig. 5 for each TAP state. After PROGRAM_WIR instruction is loaded to JTAG instruction register, SelectWIR becomes high. On the other hand after general JTAG instructions other than PROGRAM_WIR are loaded or TAP state is transited to Run-Test/Idle, SelectWIR signal becomes low. That is, while SelectWIR is high, instruction register chain of the test target core is connected to TDI-TDO path, otherwise data register chain is connected. IV. AMBA BASED PARALLEL SCAN TEST TECHNIQUE Fig. 4. Wrapper connection for parallel testing. customized by SoC integrators. However, in general, the IEEE TAP controller becomes the main control source for the embedded core test. This paper follows the IEEE 1500 standard in testing cores. WSP for core test uses Test Data Input (TDI) and Test Data Output (TDO) of IEEE as shown in Fig. 3. Wrapper registers for each core shaded in Fig. 3 are located at the user register of IEEE and chosen by the user specified instruction PROGRAM_WIR. AMBA is used as the WPP test paths in parallel scan test as shown in Fig. 4, where the patterns are applied through the HWDATA and the responses are observed through the HRDATA. Core input wrapper and output wrapper are connected to HWDATA and HRDATA respectively according to TAM width determined by test scheduling, and the test paths are established by executing WP- INTEST instruction. The clock operating each core wrapper is generated by Test Core Select (SN in Fig. 4), Stop signal to change test target (Stop in Fig. 4), and WRCK. JTAG TAP controller [18] is mainly used as the test controller and one of the control signal SelectWIR is 1. Parallel Test Scheduling The goal of test scheduling is to minimize testing time under the constraints of the TAM. Especially in parallel core test, efficient test scheduling is crucial. This paper adopts TR-ARCHITECT [3] which is a parallel test schedule optimizing SoC test wrapper and TAM simultaneously, and consists of four stages of CreateStartSolution, OptimizeBottomUp, OptimizeTopDown, and Resuffle. As in Fig. 6, it takes SoC information and user specified values (core type, schedule type, TAM type, and SoC TAM width), and then optimizes SoC test architecture. TAM width allocated to each core, cores simultaneously being tested, test order for each core, wrapper architecture optimized to each core, and SoC testing time will be determined after applying the test schedule. Given a TAM width of w, WrapperDesign(m,w) function determines an optimized wrapper architecture to achieve minimal test time for core m. According to TAM wires, internal scan chains are evenly partitioned and input/output wrapper cells are allocated. By adopting the technique in [12] this TAM chain partition is implemented as WrapperDesign function in this paper.

4 348 JAEHOON SONG et al : EFFICIENT PARALLEL SCAN TEST TECHNIQUE FOR CORES ON AMBA-BASED SOC Fig. 7. Result of test scheduling. Fig. 6. Execution flow of TR-ARCHITECT parallel test scheduler. After wrapper cells are designed for each core, the TestTime(r,w) function determines the total test time for the cores connected to TAM r with a width of w by summing up each test time. Observation: If the scan chain lengths of all cores in an SoC are the same, a single Scan Enable signal may be sufficient to apply scan test patterns concurrently. Justification: If the scan chain lengths of the cores tested concurrently are different, single SE cannot provide scan shift and capture operations for different cores at the same time. Either multiple SEs must be used or pause the scan shift and resume operators with a single SE must be provided for concurrent scan test. Scan test time with single SE can be estimated by the following equation; Test_Time_Single_SE = Parallel_Test_Time + Σ(Core_Test_Patterns) pause_resume_cycles Scan test time expected by a Test Scheduler is noted as Parallel_Test_Time. If single SE is adopted, whenever any scan shift operation for a core tested concurrently is finished, the other cores tested concurrently should pause the shift operation. Because the lengths of scan chains on the cores concurrently tested are not the same, extra test time noted as pause_resume_cycles is needed for each test pattern for each core. Therefore additional (1) Σ(Core_Test_Patterns) pause_resume_cycles are needed with single SE. Fig. 7 shows a parallel test schedule obtained for three TAMs (TAM 1, TAM 2, TAM 3 ) and five target cores (CoreA, CoreB, CoreC, CoreD, CoreE). If the lengths of scan chains for target cores tested concurrently are different, the test time scheduled as 930 for the TAM1 cannot be achievable with a single global Scan Enable. Suppose multiple scan chains in each core are balanced as {20, 25, 40, 50, 100} with {34, 15, 20, 45, 24} test patterns for five cores respectively. At first three cores {CoreA, CoreB, CoreC} are tested concurrently followed by {CoreA, CoreB, CoreD} and {CoreA, CoreD, CoreE}. If single SE is used, then after scan shifting the CoreA of which the length is 20, the CoreB and CoreC of which the lengths are 25 and 40 respectively must stop the scan shifting for the CoreA to be in capture mode. After the capture state, all three cores will resume scan shift. Whenever any core needs to be in capture state, the other cores tested concurrently but not with the same length of scan chain must be in pause and resume the scan shift. By the Eq. (1), ( ) pause_resume_cycles (for example 2) = 276 additional cycles are needed in addition to the 930 clock cycles for concurrent test with a single SE. A large industrial design P22810 in ITC 02 benchmarks [19] includes 28 cores of which 21 cores are designed with scan chains. Average lengths of scan chains for each core are ranged from 26 to 370, the number of scan chains from 1 to 21, and the number of test patterns from 1 to 785. It is not likely that the scan chains for each core can be balanced, hence with a single SE more than 10,000 extra test cycles are needed in addition to the parallel test times scheduled to apply about 3,000 total test patterns.

5 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, Core Selection Technique in Realizing Parallel Test Since, in parallel testing, more than one core is tested concurrently, the target cores to be tested must be chosen. This paper proposes a core selection scheme using the TIC and Test Mode Core Selector (TMCS) as shown in Fig. 8. The TMCS module generates a signal notifying the core to become a target through the TIC. The TMCS consists of AND gates and C n number of flip-flops corresponding to all target cores. Each flip-flop, which is one-to-one mapped to each core, has a value of one indicating the corresponding core becomes a target. The flip-flop is updated as HWDATA value only when the EN_TMCS signal is active through the HCLK. While the signals HCLK and HWDAT belong to AMBA, EN_TMCS signal is generated through the AHB decoder as shown in Fig. 9. When the device address of TMCS is loaded to the AMBA bus, the EN_TMCS signal is activated by the AHB decoder. The original function of the AHB decoder is to decode the bus address to generate slave selection signal HSELx as shown in Fig. 9(a), and this decoder is slightly modified to take the TMCS signal to generate an EN_TMCS signal as Fig. 9(b). If the modification is prohibited, since the HSELx signals are not used in test mode, one of the signals can be used as the EN_TMCS by assigning one slave address as the TMCS. Three steps are needed to select a test target core. Each step takes one clock cycle, thus, three clock cycles are required. STEP 1: The TMCS address is loaded to the AMBA bus by the TIC control. STEP 2: With the generation of the Write Transaction by the TIC control, the TMCS flip-flop contents are changed in order to activate the selection signal of the test target core. STEP 3: After the core selection, an address other than the addresses of the TMCS is loaded to AMBA bus in order to apply test vectors with the Write Transaction. We have discussed the technique for selecting a target core for parallel test in this section. 3. Stop Generator to Temporarily Stop Current Test In our technique the TIC is used to apply/observe the test patterns as well as to select the target core, but these Fig. 8. Select cores in test mode. Fig. 9. Generation of EN_TMCS signal using AHB decoder. two processes cannot be performed simultaneously. To avoid interrupting the current test process by changing target core, the current test must be temporary stopped. This paper introduces a Stop Generator logic which provides a STOP signal (active low) to stop the current test process for 3 clock cycles. The logic and timing diagram of Stop Generator are shown in Figs. 10 and 11 respectively. Initially three flip-flops in the STOP Generator hold the value of 1, and this value becomes 0 when the TMCS address is applied (TREQA=1, TREQB=1, EN_TMCS=0) to change target core. The STOP signal preserves the value of 0 until all the flipflops take the logic value of AMBA Architecture as the TAM for Parallel Testing When the AMBA is used as the TAM, it must provide test paths for the application and observation of test patterns while preserving the AMBA standard. To aid understanding, consider a 32-bit wide bus and assume that the TIC is in test mode. Test scheduling shown in Fig. 7 is applied to cores connected to this bus. The ScanTestMode signal is generated with a WP_INTETST instruction. The structure shown in Fig. 12 is used as the path for the application of test patterns on the AMBA bus. In test mode TIC acts as the AHB master to generate a Write transaction (HWDATA) for the test patterns loaded on the TBUS. Since the TIC has ownership in using the bus

6 350 JAEHOON SONG et al : EFFICIENT PARALLEL SCAN TEST TECHNIQUE FOR CORES ON AMBA-BASED SOC Fig. 10. Generation logic of the STOP signal. Fig. 11. Timing diagram of the STOP generator. Fig. 12. Application of the test vectors in parallel. in test mode the HWDATA connected to TIC is broadcasted through the Write Data MUX. No modification is needed to the HWDATA architecture and cores that belong to same TAM by test scheduling are connected to the same bit of the HWDATA. The path to apply and observe test patterns is shown in Fig. 13. Whenever test patterns are shifted in, the responses are automatically shifted out to HRDATA, hence different from test application step additional Read transaction through the TIC is not required for the observation of test responses. Minor modification is needed for HRDATA to reconfigure to 32 bit HRDATA using a MUX. The reconfigured HRDATA is connected to extended input/output ports through EBIDATAOUT and EBIEXTADDROUT upon the activation of ScanTestMode signal. In the AMBA bus standard, current slave state is supposed to be notified to the master through HRESP[1:0] and HREADY signals when Write transaction is activated. In order to keep performing Write transaction which is used for the application and observation of test patterns by the master TIC, both HREADY= and HRESP[1:0]=00 signals must be replied at the activation of ScanTestMode signal as shown in Fig.

7 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, Fig. 13. Observation path of the test vectors. Fig. 15. Test path between AHB to APB. Fig. 14. AMBA response signal of the parallel testing. Fig. 16. Concurrent scan testing with a single SE. 14. End of bus transmission is notified by HREADY=1 for the next transmission, and HRESP[1:0] tells the transmission state where the value of 00 indicates the successful bus transmission. So far the cores connected to the AHB bus are considered, but the cores connected to APB bus for mostly low power operation have to go through AHB- APB bridge. The bridge, which requires extra 2 HCLK clocks to hold the data, brings another complexity in controlling parallel scan test. We propose a new bypass technique using a MUX as shown in Fig. 15 which does not require any extra clock cycle. 5. Scan Control Signal for Parallel Test In this paper scan design is used for the structural testing of cores embedded in an SoC, hence a scan control signal known as Scan Enable has to be adopted. To achieve best test application time expected by the parallel test scheduling algorithm, this paper uses a dedicated Scan Enable signal for each Sub-TAM. Therefore multiple Scan Enable signals are used corresponding to the number of target cores concurrently being tested. With a single scan enable (SE) signal, the scan architecture cannot effectively implement the parallel test schedule resulting in lengthy test application time. A structure for parallel scan test with a single SE is shown in Fig. 16. Since all target cores being tested concurrently do not have the same length of scan chains, all cores cannot be in the same scan states, instead some cores need to be in capture state. Therefore, if a single SE is available, scan shift operations on the cores in scan state must be stopped until the capture is completely finished for the other cores in capture state. Double capture is necessary for delay testing, thus, 1 or 2 clock cycles are assumed to stop the cores in scan shift mode. Simple experiments have been performed to analyze the differences in test application times with single Scan Enable and multiple Scan Enable signals. For ITC 02 benchmark cores, TAM of 32bit width is used for TR-ARCHITECT parallel scheduling. It can be seen in Table 1 that test application time is increased up to 24.35% for 1 clock assumption and 66.61% for 2 clock assumption. A structure for parallel scan test with multiple SEs is shown in Fig. 17. Instead of using additional external and internal signals, no extra pin is not needed to implement multiple SE signals in scan test mode as shown in Fig. 18.

8 352 JAEHOON SONG et al : EFFICIENT PARALLEL SCAN TEST TECHNIQUE FOR CORES ON AMBA-BASED SOC Table 1. Increase in concurrent test application time with using a single SE compared to multiple SEs SoC Multiple SEs Single SE Increase of test time # of SEs 1 cycle 2 cycle 1 cycle 2 cycle increase ratio increase ratio Fig. 17. Concurrent scan testing with multiple SEs. u d h g f q t a Fig. 18. Reusing functional input as Scan Enable. V. EXPERIMENTAL RESULTS Our parallel scan technique is compared with sequential scan on an AMBA-based SoC. ITC 02 test benchmarks [19] cores are connected to an AMBA bus whose width W max ranges from 16 to 64. The results for the sequential scan are taken from [8] where the TAM utilizing the AMBA bus is not partitioned instead the whole TAM width is allocated to each core. In the parallel scan test, the TAM is partitioned according to our modified TR-ARCHITECT [12] test scheduling algorithm. The test application times for hard and soft cores are shown in Table 2. Scan structures of soft cores can be reconfigured to achieve optimal test application time. Proposed multiple SEs are configured to compensate the inefficiency of the single SE, and in general more scan test time is needed for hard cores. Hence, our scheme gives better results for hard cores than soft cores as verified through the experimental results. More experiments have been performed for a real AMBA based SoC as shown in Fig. 19, and detailed information about the soft cores are described in Table 3. Test patterns are generated using commercial CAD tool and the SoC circuit was synthesized with 0.25 um process libraries. The area is described as 2 input NAND gates, and the columns 5, 6, 7 give the number of Table 2. Test application times of sequential and parallel with multiple SEs (soft/hard cores) SoC u226 d281 h953 g1023 f2126 q12710 t a W max Soft cores Hard cores Seq. Parallel Red.% Seq. Parallel Red.% k 18.7k k 18.7k k 10.7k k 10.7k k 8k k 8.2k k 4.2k k 4.1k k 72.5k k 119.4k k 36.4k k 119.4k k 31.6k k 34.5k k 16.3k k 16.9k k 324.7k k 372.1k k 163.1k k 335.3k M 1.5M M 2.2M M 766.3k M 2.2M M 10.3M M 10.5M M 5.1M M 5.3M M 41.9M M 41.5M M 21.1M M 22.5M 56.2 Fig. 19. AMBA based SoC. Primary Inputs, Primary Outputs, and D Flip-Flops. Number of test patterns and fault coverage are shown in columns 8 and 9 respectively. As can be seen in the previous ITC 02 benchmarks, higher reduction of the test time can be achieved with wider TAM for parallel than sequential test; see Table 4.

9 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, Table 3. Core characteristics of AMBA based SoC A H B A P B Core name Leon3 Processor SDRAM Controller AHB-PCI Bridge Ethernet MAC No scan Area Scan design PI PO FFs Although the test application time is reduced in the parallel test, more area overhead is needed than the sequential technique. Standard wrappers are connected to all inputs and outputs in parallel scan design, but the sequential scan in [8] does not connect any test harness to core output. Relatively small area overheads are resulted as shown in Table 5 to realize parallel scan design. VI. CONCLUSIONS # of test patterns Fault coverage UART GPIO RTC Table 4. Test application time of sequential and parallel scan test in AMBA based SoC W max Sequential test Parallel test Reduction ratio Table 5. Area overhead for AMBA based SoC AH B AP B Core name Test harness for sequential Test harness for parallel Increase ratio Leon3Processor SDRAM Controller AHB-PCI Bridge Ethernet MAC UART GPIO RTC Total sum and ratio An efficient parallel scan test technique has been introduced for an AMBA based SoC. By only using a single Scan Enable, the test application time expected by parallel test schedule cannot be achievable. Multiple Scan Enable signals are used to realize the parallel test schedule. Experiments performed for hard and soft cores show significant reduction in test application time with a small area overhead while conforming to the AMBA standards. Although this technique has been adopted to the AMBA based SoCs, it can be generally applied to other types of buses to reduce test cost. REFERENCES [1] S. Narayanan, R. Gupta, and M. A. Breuer, "Optimal configuring of multiple scan chains," Computers, IEEE Transactions on, vol. 42, pp , [2] Z. Shanrui, C. Minsu, N. Park, and F. Lombardi, "Cost-driven optimization of fault coverage in combined Built-In Self-Test/Automated Test Equipment testing," in Instrumentation and Measurement Technology Conference, IMTC 04. Proceedings of the 21st IEEE, 2004, pp Vol.3. [3] S. K. Goel and E. J. Marinissen, "Effective and efficient test architecture design for SOCs," in Test Conference, Proceedings. International, 2002, pp [4] Y. Zorian, E. J. Marinissen, and S. Dey, "Testing embedded-core based system chips," in Test Conference, Proceedings., International, 1998, pp [5] "IEEE std 1500 Standard for Embedded Core Test," [6] J. Aerts and E. J. Marinissen, "Scan chain design for test time reduction in core-based ICs," in Test Conference, Proceedings., International, 1998, pp [7] P. Varma and S. Bhatia, "A structured test re-use methodology for core-based system chips," in Test Conference, Proceedings., International, 1998, pp [8] S. Jaehoon, M. Piljae, Y. Hyunbean, and P. Sungju, "Design of Test Access Mechanism for AMBA- Based System-on-a-Chip," in VLSI Test Symposium, th IEEE, 2007, pp [9] L. Chih-Yi and L. Hsing-Chung, "Bus-oriented DFT design for embedded cores," in Circuits and Systems, Proceedings. The 2004 IEEE Asia-

10 354 JAEHOON SONG et al : EFFICIENT PARALLEL SCAN TEST TECHNIQUE FOR CORES ON AMBA-BASED SOC Pacific Conference on, 2004, pp vol.1. [10] C. Feige, J. T. Pierick, C. Wouters, R. Tangelder, and H. G. Kerkhoff, "Integration of the Scan-Test Method into an Architecture Specific Core-Test Approach," J. Electron. Test., vol. 14, pp , [11] M. Abramovici, M. A. Breuer, and A. D. Friedman, Digital Systems Testing and Testable Design. New York: Computer Science Press, [12] E. J. Marinissen, S. K. Goel, and M. Lousberg, "Wrapper design for embedded core test," in Test Conference, Proceedings. International, 2000, pp [13] K.-w. Eom, D.-k. Han, Y. Lee, H.-s. Kim, and S. Kang, "Efficient Multi-site Testing Using ATE Channel Sharing" Journal of Semiconductor Technology and Science, vol. 13, pp , [14] D. K. Bhavsar and S. J. Poehlman, "Test access and the testability features of the Poulson multi-core Intel Itanium processor," in Test Conference (ITC), 2011 IEEE International, 2011, pp [15] X. Dong, Z. Yang, K. Chakrabarty, and H. Fujiwara, "A Reconfigurable Scan Architecture With Weighted Scan-Enable Signals for Deterministic BIST," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 27, pp , [16] Z. Jin-yi, H. Xu-hui, C. Wan-lin, and W. Han-yi, "Improved delay fault coverage in SoC using controllable multi-scan-enable," in Solid-State and Integrated Circuit Technology (ICSICT), th IEEE International Conference on, 2010, pp [17] ARM, "AMBA specification (rev. 2.0)," 1999 May. [18] "IEEE Standard Test Access Port and Boundary- Scan Architecture," IEEE std [19] E. J. Marinissen, V. Iyerngar, and K. Charkrabarty. (2002). ITC'02 SOC Test Benchmarks. Available: Jaehoon Song received the B.S., M.S., and Ph.D. degrees in computer science and engineering from Hanyang University, Gyunggi-do, Korea in 2000, 2002, and 2009 respectively. From 2009 to 2013, he has been working for TranSono Inc., Seoul, Korea. In 2003, he worked for the System-on-a- Chip (SoC) Design Center at Seoul National University in Korea, where he was on the Development Staff in charge of platform-based design. His main research interests are in Design-for-Testability (DfT), signal integrity, and low-power design. Mr. Song is a member of the Institute of Electronics Engineers of Korea and the Korea Information Science Society. He received the Best Paper Award from the Korea Test Association at the Korea Test Conference in Jihun Jung received the B.S. in computer science and engineering from Hanyang University, Gyunggi - do, Korea in Since 2010 he has been working toward the M.S. and Ph.D. degree in computer science and engineering at the same University. His interests include Design for Testability, Memory Test, Memory ECC, 3D SIC, Aging monitoring, and NoC Design. Dooyoung Kim received the B.S. and M.S. in computer science and engineering from Hanyang University, Gyunggi-do, Korea in 2004 and From 2006 to 2012, he was with LG Electronics in South Korea as a research engineer in charge of ASIC Front-end. Since 2012, he has been working toward the Ph.D. degree in computer science and engineering at Hanyang University. His interests include Design for Testability, Low Power Test, Test Cost Reduction, 3D SIC, and Reliability.

11 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, Sungju Park received the B.S. degree in electronics from Hanyang University, Korea, in 1983 and the M.S and Ph.D. degrees in electrical and computer engineering from the University of Massachusetts at Amherst in 1988 and 1992, respecttively. From 1983 to 1986, he was with the Gold Star Company in Korea. From 1992 to 1995, he worked for IBM Microelectronics, USA as a Development Staff. Since then, he has been a Professor in the department of computer science and engineering in Hanyang University, Korea. His research interests lie in the area of VLSI testing including scan design, built-in self test, test pattern generation, fault simulation, and synthesis of test.

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Chapter 10 Exercise Solutions

Chapter 10 Exercise Solutions VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 1/10 Chapter 10 Exercise Solutions 10.1 The following is just an example for testing chips and interconnects on a board.

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture,

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE Mohammed Gazi.J 1, Abdul Mubeen Mohammed 2 1 M.Tech. 2 BE, MS(IT), AMISTE ABSTRACT In the design of a SOC system, random

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug

Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug Kanad Basu, Prabhat Mishra Computer and Information Science and Engineering University of Florida, Gainesville FL 32611-6120,

More information

Implementation of Scan Insertion and Compression for 28nm design Technology

Implementation of Scan Insertion and Compression for 28nm design Technology Implementation of Scan Insertion and Compression for 28nm design Technology 1 Mohan PVS, 2 Rajanna K.M 1 PG Student, Department of ECE, Dr. Ambedkar Institute of Technology, Bengaluru, India 2 Associate

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

Enhanced JTAG to test interconnects in a SoC

Enhanced JTAG to test interconnects in a SoC Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 1 Enhanced JTAG to test interconnects in a SoC Dany Lebel (1271766) and Sorin Alin Herta (1317418) ELE-6306, Test de systèmes

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

ADVANCES in semiconductor technology are contributing

ADVANCES in semiconductor technology are contributing 292 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 3, MARCH 2006 Test Infrastructure Design for Mixed-Signal SOCs With Wrapped Analog Cores Anuja Sehgal, Student Member,

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY 2015 317 Scan Test of Die Logic in 3-D ICs Using TSV Probing Brandon Noia, Shreepad Panth, Krishnendu Chakrabarty,

More information

Remote Diagnostics and Upgrades

Remote Diagnostics and Upgrades Remote Diagnostics and Upgrades Tim Pender -Eastman Kodak Company 10/03/03 About this Presentation Motivation for Remote Diagnostics Reduce Field Maintenance costs Product needed to support 100 JTAG chains

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Efficient Trace Signal Selection for Post Silicon Validation and Debug

Efficient Trace Signal Selection for Post Silicon Validation and Debug Efficient Trace Signal Selection for Post Silicon Validation and Debug Kanad Basu and Prabhat Mishra Computer and Information Science and Engineering University of Florida, ainesville FL 32611-6120, USA

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

Testing of Cryptographic Hardware

Testing of Cryptographic Hardware Testing of Cryptographic Hardware Presented by: Debdeep Mukhopadhyay Dept of Computer Science and Engineering, Indian Institute of Technology Madras Motivation Behind the Work VLSI of Cryptosystems have

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang Wireless Information Transmission System Lab. System IC esign: Timing Issues and FT Hung-Chih Chiang Institute of Communications Engineering National Sun Yat-sen University SoC Timing Issues Outline Timing

More information

Design of Testable Reversible Toggle Flip Flop

Design of Testable Reversible Toggle Flip Flop Design of Testable Reversible Toggle Flip Flop Mahalakshmi A M.E. VLSI Design, Department of Electronics and Communication PSG college of technology Coimbatore, India Abstract In this paper, the design

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Chip-Level DFT: Some New, And Not So New, Challenges

Chip-Level DFT: Some New, And Not So New, Challenges 2004 Southwest DFT Symposium B A DFT Open Day Chip-Level DFT: Some New, And Not So New, Challenges Ben Bennetts, DFT Consultant Bennetts Associates, UK Tel: +44 1489 581276 E-mail: ben@dft.co.uk http://www.dft.co.uk/

More information

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedbac Shift Register G Dimitraopoulos, D Niolos and D Baalis Computer Engineering and Informatics Dept, University of Patras,

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 27.2.2. DIGITAL TECHNICS Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 6. LECTURE (ANALYSIS AND SYNTHESIS OF SYNCHRONOUS SEQUENTIAL CIRCUITS) 26/27 6. LECTURE Analysis and

More information

Test Data Compression for System-on-a-Chip Using Golomb Codes 1

Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Anshuman Chandra and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University Durham, NC 27708 {achandra,

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique Dr. Dhafir A. Alneema (1) Yahya Taher Qassim (2) Lecturer Assistant Lecturer Computer Engineering Dept.

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

On Reducing Both Shift and Capture Power for Scan-Based Testing

On Reducing Both Shift and Capture Power for Scan-Based Testing On Reducing Both Shift and apture Power for Scan-Based Testing Jia LI,2, Qiang U 3,4, Yu HU, iaowei LI * Key Laboratory of omputer System and Architecture IT, hinese Academy of Sciences Beijing, 8; 2 Graduate

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

BTW03 DESIGN CONSIDERATIONS IN USING AS A BACKPLANE TEST BUS International Test Conference. Pete Collins

BTW03 DESIGN CONSIDERATIONS IN USING AS A BACKPLANE TEST BUS International Test Conference. Pete Collins 2003 International Test Conference DESIGN CONSIDERATIONS IN USING 1149.1 AS A BACKPLANE TEST BUS Pete Collins petec@jtag.co.uk JTAG TECHNOLOGIES BTW03 PURPOSE The purpose of this presentation is to discuss

More information

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits Nov 26, 2002 John Wawrzynek Outline SR Latches and other storage elements Synchronizers Figures from Digital Design, John F. Wakerly

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Using the XC9500/XL/XV JTAG Boundary Scan Interface Application Note: XC95/XL/XV Family XAPP69 (v3.) December, 22 R Using the XC95/XL/XV JTAG Boundary Scan Interface Summary This application note explains the XC95 /XL/XV Boundary Scan interface and demonstrates

More information