ECE 448 Lecture 11. VGA Display Part 3 Animation

Size: px
Start display at page:

Download "ECE 448 Lecture 11. VGA Display Part 3 Animation"

Transcription

1 ECE 448 Lecture 11 VGA Display Part 3 Animation George Mason University

2 Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA Controller I: Graphic Source Codes of Examples Nexys 3 Board Reference Manual Nexys 4 DDR FPGA Board Reference Manual VGA Port 2

3 PONG 3

4 PONG Commonly regarded as the first "commercially successful" video game Released by Atari in 1972 Created by Allan Alcorn as a training exercise assigned to him by Atari co-founder Nolan Bushnell The first prototype developed completely in hardware using TTL devices Originally used as an arcade video game Home version released during the 1975 Christmas season 4

5 PONG 5

6 PONG Interesting Videos Pong Game First documented Video Ping-Pong game Classic Game Room HD - PONG for Nintendo DS / GBA 6

7 Animation 7

8 Animation Basics Animation is achieved by an object changing its location gradually in each frame We use signals, instead of constants, to determine boundaries of an object VGA monitor is refreshed 60 times per second The boundary signals need to be updated at this rate We create a 60 Hz enable tick, refr_tick, which is asserted for 1 pixel period every 1/60 th of a second 8

9 Moving the Bar (Paddle) 600 x 603 bar_y_t y bar_y_b bar_y_t = bar_y_reg bar_y_b=bar_y_t +BAR_Y_SIZE-1 BAR_V is a velocity of the bar (#pixels/frame) 9

10 Moving the Bar in VHDL (1) -- bar left, right boundary constant BAR_X_L: integer:=600; constant BAR_X_R: integer:=603; -- bar top, bottom boundary signal bar_y_t, bar_y_b: unsigned(9 downto 0); constant BAR_Y_SIZE: integer:=72; -- reg to track top boundary (x position is fixed) signal bar_y_reg, bar_y_next: unsigned(9 downto 0); -- bar moving velocity when the button is pressed constant BAR_V: integer:=4; 10

11 Moving the Bar in VHDL (2) -- boundary bar_y_t <= bar_y_reg; bar_y_b <= bar_y_t + BAR_Y_SIZE - 1; -- pixel within bar bar_on <= '1' when (BAR_X_L<=pix_x) and (pix_x<=bar_x_r) and (bar_y_t<=pix_y) and (pix_y<=bar_y_b) else '0'; -- bar rgb output bar_rgb <= "010"; --green 11

12 bar_y_reg, bar_y_t, and bar_y_b 12

13 Moving the Bar in VHDL (4) process (clk, reset) begin if reset='1' then bar_y_reg <= (others=>'0'); elsif (clk'event and clk='1') then bar_y_reg <= bar_y_next; end if; end process; 13

14 Circuit calculating bar_y_next 14

15 Moving the Bar in VHDL (3) -- new bar y-position process(bar_y_reg, bar_y_b, bar_y_t, refr_tick, btn) begin bar_y_next <= bar_y_reg; -- no move if refr_tick='1' then if btn(1)='1' and bar_y_b <= (MAX_Y-1-BAR_V) then bar_y_next <= bar_y_reg + BAR_V; -- move down elsif btn(0)='1' and bar_y_t >= BAR_V then bar_y_next <= bar_y_reg - BAR_V; -- move up end if; end if; end process; 15

16 Moving the Ball ball_x_l x ball_x_r ball_y_t y ball_y_b ball_x_l = ball_x_reg ball_x_r=ball_x_l +BALL_SIZE-1 ball_y_t = ball_y_reg ball_y_b=ball_y_t +BALL_SIZE-1 16

17 Ball Velocity The ball may change direction by hitting the wall, the paddle, or the bottom or top of the screen We decompose velocity into an x-component and a y-component Each component can have either a positive value BALL_V_P or a negative value BALL_V_N The current value of each component is kept in x_delta_reg and y_delta_reg 17

18 Velocity Components of the Ball x x_delta_reg = BALL_V_N y_delta_reg = BALL_V_N x_delta_reg = BALL_V_P y_delta_reg = BALL_V_N x_delta_reg = BALL_V_N y_delta_reg = BALL_V_P x_delta_reg = BALL_V_P y_delta_reg = BALL_V_P y 18

19 Moving the Ball in VHDL (1) constant BALL_SIZE: integer:=8; ball boundaries signal ball_x_l, ball_x_r: unsigned(9 downto 0); signal ball_y_t, ball_y_b: unsigned(9 downto 0); -- reg to track left, top boundary signal ball_x_reg, ball_x_next: unsigned(9 downto 0); signal ball_y_reg, ball_y_next: unsigned(9 downto 0); -- reg to track ball speed signal x_delta_reg, x_delta_next: unsigned(9 downto 0); signal y_delta_reg, y_delta_next: unsigned(9 downto 0); -- ball velocity can be pos or neg constant BALL_V_P: unsigned(9 downto 0) := to_unsigned(2,10); constant BALL_V_N: unsigned(9 downto 0) := unsigned(to_signed(-2,10)); 19

20 Moving the Ball in VHDL (3) ball_x_l <= ball_x_reg; ball_y_t <= ball_y_reg; ball_x_r <= ball_x_l + BALL_SIZE - 1; ball_y_b <= ball_y_t + BALL_SIZE - 1; -- pixel within ball sq_ball_on <= '1' when (ball_x_l<=pix_x) and (pix_x<=ball_x_r) and '0'; (ball_y_t<=pix_y) and (pix_y<=ball_y_b) else 20

21 Moving the Ball in VHDL (2) type rom_type is array (0 to 7) of std_logic_vector(0 to 7); constant BALL_ROM: rom_type := ( " ", -- **** ); " ", -- ****** " ", -- ******** " ", -- ******** " ", -- ******** " ", -- ******** " ", -- ****** " " -- **** signal rom_addr, rom_col: unsigned(2 downto 0); signal rom_data: std_logic_vector(0 to 7); signal rom_bit: std_logic; 21

22 Moving the Ball in VHDL (4) -- map current pixel location to ROM addr/col rom_addr <= pix_y(2 downto 0) - ball_y_t(2 downto 0); rom_col <= pix_x(2 downto 0) - ball_x_l(2 downto 0); rom_data <= BALL_ROM(to_integer(rom_addr)); rom_bit <= rom_data(to_integer(rom_col)); -- pixel within ball rd_ball_on <= '1' when (sq_ball_on='1') and (rom_bit='1') else '0'; -- ball rgb output ball_rgb <= "100"; -- red 22

23 Moving the Ball in VHDL (5) -- new ball position ball_x_next <= ball_x_reg + x_delta_reg when refr_tick='1' else ball_x_reg ; ball_y_next <= ball_y_reg + y_delta_reg when refr_tick='1' else ball_y_reg ; 23

24 Bouncing y_delta_next <= BALL_V_P x_delta_next <= BALL_V_P x_delta_next <= BALL_V_N y_delta_next <= BALL_V_N 24

25 Circuit calculating y_delta_next 25

26 Circuit calculating x_delta_next 26

27 Moving the Ball in VHDL (6) process(x_delta_reg, y_delta_reg, ball_x_l, ball_x_r, ball_y_t, ball_y_b, bar_y_t, bar_y_b) begin x_delta_next <= x_delta_reg; if ball_y_t < 1 then -- reach top y_delta_next <= BALL_V_P; y_delta_next <= y_delta_reg; elsif ball_y_b >= (MAX_Y-1) then -- reach bottom y_delta_next <= BALL_V_N; elsif ball_x_l <= WALL_X_R then -- reach wall x_delta_next <= BALL_V_P; -- bounce back elsif (BAR_X_L<=ball_x_r) and (ball_x_r<=bar_x_r) then -- reach x of right bar if (bar_y_t<=ball_y_b) and (ball_y_t<=bar_y_b) then x_delta_next <= BALL_V_N; --hit, bounce back end if; end if; end process; 27

28 Moving the Ball in VHDL (7) process (clk, reset) begin if reset='1' then ball_x_reg <= (others=>'0'); ball_y_reg <= (others=>'0'); x_delta_reg <= BALL_V_P; y_delta_reg <= BALL_V_P; elsif (clk'event and clk='1') then ball_x_reg <= ball_x_next; ball_y_reg <= ball_y_next; x_delta_reg <= x_delta_next; y_delta_reg <= y_delta_next; end if; end process; 28

29 Generating ref_tick in VHDL pix_x <= unsigned(pixel_x); pix_y <= unsigned(pixel_y); -- refr_tick: 1-clock tick asserted at start of v-sync -- i.e., when the screen is refreshed (60 Hz) refr_tick <= '1' when (pix_y=481) and (pix_x=0) else '0'; 29

30 Vertical Synchronization 30

ECE 448 Lecture 11. VGA Display Part 3 Animation

ECE 448 Lecture 11. VGA Display Part 3 Animation ECE 448 Lecture 11 VGA Display Part 3 Animation George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA Controller I: Graphic Source Codes of Examples http://academic.csuohio.edu/chu_p/rtl/fpga_vhdl.html

More information

ECE 448 Lecture 12. VGA Display Part 4 Text Generation

ECE 448 Lecture 12. VGA Display Part 4 Text Generation ECE 448 Lecture 12 VGA Display Part 4 Text Generation George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 13, VGA Controller II: Text Source Codes of Examples http://academic.csuohio.edu/chu_p/rtl/fpga_vhdl.html

More information

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization ECE 448 Lecture 10 VGA Display Part 1 VGA Synchronization George Mason University Required Reading Old Edition of the Textbook 2008 (see Piazza) P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

Lab 6: Video Game PONG

Lab 6: Video Game PONG CpE 487 Digital Design Lab Lab 6: Video Game PONG 1. Introduction In this lab, we will extend the FPGA code we developed in Labs 3 and 4 (Bouncing Ball) to build a simple version of the 1970 s arcade game

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems Lab 5 - VHDL for Sequential Circuits: Implementing a customized State Machine 15 Marks ( 2 weeks) Due

More information

Vending Machine. Keywords FSM, Vending Machine, FPGA, VHDL

Vending Machine. Keywords FSM, Vending Machine, FPGA, VHDL Vending Machine Khodur Dbouk, Basil Jajou, Kouder Abbas, Stevan Nissan Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester, MI kdbouk@oakland.edu,

More information

Lab # 9 VGA Controller

Lab # 9 VGA Controller Lab # 9 VGA Controller Introduction VGA Controller is used to control a monitor (PC monitor) and has a simple protocol as we will see in this lab. Kit parts for this lab 1 A closer look VGA Basics The

More information

VHDL test bench for digital image processing systems using a new image format

VHDL test bench for digital image processing systems using a new image format VHDL test bench for digital image processing systems using a new image format A. Zuloaga, J. L. Martín, U. Bidarte, J. A. Ezquerra Department of Electronics and Telecommunications, University of the Basque

More information

Tic-Tac-Toe Using VGA Output Alexander Ivanovic, Shane Mahaffy, Johnathan Hannosh, Luca Wagner

Tic-Tac-Toe Using VGA Output Alexander Ivanovic, Shane Mahaffy, Johnathan Hannosh, Luca Wagner Tic-Tac-Toe Using VGA Output Alexander Ivanovic, Shane Mahaffy, Johnathan Hannosh, Luca Wagner Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University,

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Eng. Mohammed Samara. Fall The Islamic University of Gaza. Faculty of Engineering. Computer Engineering Department

Eng. Mohammed Samara. Fall The Islamic University of Gaza. Faculty of Engineering. Computer Engineering Department Fall 2011 The Islamic University of Gaza Faculty of Engineering Computer Engineering Department ECOM 4111 - Digital Systems Design Lab Lab 7: Prepared By: Eng. Mohammed Samara Introduction: A counter is

More information

Design Problem 4 Solutions

Design Problem 4 Solutions CSE 260 Digital Computers: Organization and Logical Design Jon Turner Design Problem 4 Solutions In this problem, you are to design, simulate and implement a maze game on the S3 board, using VHDL. This

More information

Class 06 Sequential Logic: Flip-Flop

Class 06 Sequential Logic: Flip-Flop Class 06 Sequential Logic: Flip-Flop June 16, 2017 2 Differences between Latch and Flip-Flop D latch Level trigger D flip-flop Edge trigger 1 June 16, 2017 3 Function Table of D Flip-Flop DFF D flip-flop

More information

Lab 4: Hex Calculator

Lab 4: Hex Calculator CpE 487 Digital Design Lab Lab 4: Hex Calculator 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to function as a simple hexadecimal calculator capable of adding and subtracting

More information

Class 19 Sequential Logic: Flip-Flop

Class 19 Sequential Logic: Flip-Flop Class 9 Sequential Logic: Flip-Flop June 2, 22 2 Differences between Latch and Flip-Flop D latch Level trigger D flip-flop Edge trigger June 2, 22 3 Function Table of D Flip-Flop DFF CLK D D flip-flop

More information

Figure 1 Block diagram of a 4-bit binary counter

Figure 1 Block diagram of a 4-bit binary counter Lab 3: Four-Bit Binary Counter EE-459/500 HDL Based Digital Design with Programmable Logic Electrical Engineering Department, University at Buffalo Last update: Cristinel Ababei, August 2012 1. Objective

More information

Bachelor Thesis. Augmented Reality using a Virtual Reality. R.J.A. Blokker & L.M. Noordam. Implementation on an FPGA device

Bachelor Thesis. Augmented Reality using a Virtual Reality. R.J.A. Blokker & L.M. Noordam. Implementation on an FPGA device Bachelor Thesis Augmented Reality using a Virtual Reality setup R.J.A. Blokker & L.M. Noordam Implementation on an FPGA device Augmented Reality using a Virtual Reality setup Implementation on an FPGA

More information

library IEEE; use IEEE.STD_LOGIC_1164.ALL;

library IEEE; use IEEE.STD_LOGIC_1164.ALL; library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018 Petter Källström, Mario Garrido September 10, 2018 Abstract In the initialization of the DE2-115 (after you restart it), an image is copied into the SRAM memory. What you have to do in this lab is to read

More information

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

Laboratory 4 Check Off Sheet. Student Name: Staff Member Signature/Date: Part A: VGA Interface You must show a TA the following for check off:

Laboratory 4 Check Off Sheet. Student Name: Staff Member Signature/Date: Part A: VGA Interface You must show a TA the following for check off: Student Name: Massachusetts Institue of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory (Spring 2007) 6.111 Staff Member Signature/Date:

More information

Flip-Flops and Registers

Flip-Flops and Registers The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and Kinney, and were used with permission from Cengage Learning. Flip-Flops and

More information

ECE 3401 Lecture 11. Sequential Circuits

ECE 3401 Lecture 11. Sequential Circuits EE 3401 Lecture 11 Sequential ircuits Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

Laboratory 4 Check Off Sheet. Student Name: Staff Member Signature/Date: Part A: VGA Interface You must show a TA the following for check off:

Laboratory 4 Check Off Sheet. Student Name: Staff Member Signature/Date: Part A: VGA Interface You must show a TA the following for check off: Student Name: Massachusetts Institue of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory (Spring 2006) 6.111 Staff Member Signature/Date:

More information

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik 8 Shift Registers A Johnson counter contains the basic structure of a shift register which is made up by a chain of D- FFs. Beginning with the LSB of a register (a number of D-FFs) each D-FF output can

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #2 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA

Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA Real-Time Digital Oscilloscope Implementation in 90nm CMOS Technology FPGA NASIR MEHMOOD 1, JENS OGNIEWSKI AND VINODH RAVINATH 1 Department of Electrical Engineering Air University PAF Complex, Sector

More information

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface

More information

Design of VGA and Implementing On FPGA

Design of VGA and Implementing On FPGA Design of VGA and Implementing On FPGA Mr. Rachit Chandrakant Gujarathi Department of Electronics and Electrical Engineering California State University, Sacramento Sacramento, California, United States

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

VHDL 4 BUILDING BLOCKS OF A COMPUTER.

VHDL 4 BUILDING BLOCKS OF A COMPUTER. 1 VHDL 4 BUILDING BLOCKS OF A COMPUTER http://www.cse.cuhk.edu.hk/~mcyang/teaching.html 2 We will learn Combinational circuit and sequential circuit Building blocks of a computer Control units are state

More information

Video. Prof. Stephen A. Edwards Columbia University Spring Video p. 1/2

Video. Prof. Stephen A. Edwards Columbia University Spring Video p. 1/2 Video p. 1/2 Video Prof. Stephen A. Edwards sedwards@cs.columbia.edu Columbia University Spring 2007 Television: 1939 Du Mont Model 181 Video p. 2/2 Vector Displays Video p. 3/2 Raster Scanning Video p.

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks core is a full function equivalent to the Motorola MC6845 device. The interfaces a microprocessor to a raster-scan CRT display. The

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

VGA Configuration Algorithm using VHDL

VGA Configuration Algorithm using VHDL VGA Configuration Algorithm using VHDL 1 Christian Plaza, 2 Olga Ramos, 3 Dario Amaya Virtual Applications Group-GAV, Nueva Granada Military University UMNG Bogotá, Colombia. Abstract Nowadays it is important

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

download instant at

download instant at Chapter 4: Modeling Behavior 1. Construct a VHDL model of a parity generator for 7-bit words. The parity bit is generated to create an even number of bits in the word with a value of 1. Do not prescribe

More information

Video. Prof. Stephen A. Edwards Columbia University Spring Video p.

Video. Prof. Stephen A. Edwards Columbia University Spring Video p. Video Prof. Stephen A. Edwards sedwards@cs.columbia.edu Columbia University Spring 2008 Television: 1939 Du Mont Model 181 Vector Displays Raster Scanning Raster Scanning Raster Scanning Raster Scanning

More information

Professor Henry Selvaraj, PhD. November 30, CPE 302 Digital System Design. Super Project

Professor Henry Selvaraj, PhD. November 30, CPE 302 Digital System Design. Super Project CPE 302 Digital System Design Super Project Problem (Design on the DE2 board using an ultrasonic sensor as varying input to display a dynamic changing video) All designs are verified using Quartus or Active-HDL,

More information

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11)

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11) Chapter 5 VGA Port The Spartan-3 Starter Kit board includes a VGA display port and DB15 connector, indicated as 5 in Figure 1-2. Connect this port directly to most PC monitors or flat-panel LCD displays

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

ECE 545 Digital System Design with VHDL Lecture 2. Digital Logic Refresher Part B Sequential Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 2. Digital Logic Refresher Part B Sequential Logic Building Blocks ECE 545 igital System esign with VHL Lecture 2 igital Logic Refresher Part B Sequential Logic Building Blocks Lecture Roadmap Sequential Logic Sequential Logic Building Blocks Flip-Flops, Latches Registers,

More information

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 6: Simple and Complex Programmable Logic Devices MEMORY 2 Volatile: need electrical power Nonvolatile: magnetic disk, retains its stored information after the removal

More information

Using the XSV Board Xchecker Interface

Using the XSV Board Xchecker Interface Using the XSV Board Xchecker Interface May 1, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC9510 CPLD on the XSV Board to enable the programming

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

The Nexys 4 Number Cruncher. Electrical and Computer Engineering Department

The Nexys 4 Number Cruncher. Electrical and Computer Engineering Department The Nexys 4 Number Cruncher Bassam Jarbo, Donald Burns, Klajdi Lumani, Michael Elias Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

VGA Pixel Buffer Stephen Just

VGA Pixel Buffer Stephen Just VGA Pixel Buffer Stephen Just 2016-02-20 1 Introduction Video output is often a useful addition to interactive projects but typically there have been many performance limitations with respect to video

More information

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN Assoc. Prof. Dr. Burak Kelleci Spring 2018 OUTLINE Synchronous Logic Circuits Latch Flip-Flop Timing Counters Shift Register Synchronous

More information

4:1 Mux Symbol 4:1 Mux Circuit

4:1 Mux Symbol 4:1 Mux Circuit Exercise 6: Combinational Circuit Blocks Revision: October 20, 2009 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax STUDT I am submitting my own work, and I understand penalties will

More information

ECE337 Lab 4 Introduction to State Machines in VHDL

ECE337 Lab 4 Introduction to State Machines in VHDL ECE337 Lab Introduction to State Machines in VHDL In this lab you will: Design, code, and test the functionality of the source version of a Moore model state machine of a sliding window average filter.

More information

Lab 5 FPGA Design Flow Based on Aldec Active-HDL. Fast Reflex Game.

Lab 5 FPGA Design Flow Based on Aldec Active-HDL. Fast Reflex Game. Lab 5 FPGA Design Flow Based on Aldec Active-HDL. Fast Reflex Game. Task 0 (tested during lab demonstration) Get familiar with the Tutorial on FPGA Design Flow based on Aldec Active-HDL. Be ready to perform

More information

DIGITAL SYSTEM DESIGN VHDL Coding for FPGAs Unit 7

DIGITAL SYSTEM DESIGN VHDL Coding for FPGAs Unit 7 DIGITAL SYSTM DSIGN VHDL Coding for FPGAs Unit 7 INTRODUCTION TO DIGITAL SYSTM DSIGN: Digital System Components Use of generic map to map parameters. xample: Digital Stopwatch xample: Lights Pattern mbedding

More information

Lecture 14: Computer Peripherals

Lecture 14: Computer Peripherals Lecture 14: Computer Peripherals The last homework and lab for the course will involve using programmable logic to make interesting things happen on a computer monitor should be even more fun than the

More information

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005 EE178 Lecture Module 4 Eric Crabill SJSU / Xilinx Fall 2005 Lecture #9 Agenda Considerations for synchronizing signals. Clocks. Resets. Considerations for asynchronous inputs. Methods for crossing clock

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

ECE 545 Digital System Design with VHDL Lecture 1B. Digital Logic Refresher Part B Sequential Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1B. Digital Logic Refresher Part B Sequential Logic Building Blocks ECE 545 igital System esign with VHL Lecture B igital Logic Refresher Part B Sequential Logic Building Blocks Lecture Roadmap Sequential Logic Sequential Logic Building Blocks Flip-Flops, Latches Registers,

More information

Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II

Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II Ashish B. Pasaya 1 1 E & C Engg. Department, Sardar Vallabhbhai Patel institute of technology, Vasad, Gujarat,

More information

Traffic Light Controller

Traffic Light Controller Traffic Light Controller Four Way Intersection Traffic Light System Fall-2017 James Todd, Thierno Barry, Andrew Tamer, Gurashish Grewal Electrical and Computer Engineering Department School of Engineering

More information

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core Video overlays on 24-bit RGB or YCbCr 4:4:4 video Supports all video resolutions up to 2 16 x 2 16 pixels Supports any

More information

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1 ACS College of Engineering Department of Biomedical Engineering HDL pre lab questions (2015-2016) Cycle-1 1. What is truth table? 2. Which gates are called universal gates? 3. Define HDL? 4. What is the

More information

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Asynchronous & Synchronous Reset Design Techniques - Part Deux Clifford E. Cummings Don Mills Steve Golson Sunburst Design, Inc. LCDM Engineering Trilobyte Systems cliffc@sunburst-design.com mills@lcdm-eng.com sgolson@trilobyte.com ABSTRACT This paper will investigate

More information

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part B Sequential Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part B Sequential Logic Building Blocks ECE 545 igital System esign with VHL Lecture igital Logic Refresher Part B Sequential Logic Building Blocks Lecture Roadmap Sequential Logic Sequential Logic Building Blocks Flip-Flops, Latches Registers,

More information

CALIFORNIA STATE UNIVERSITY, NORTHRIDGE. Reconfigurable RGB Video Test Pattern Generator

CALIFORNIA STATE UNIVERSITY, NORTHRIDGE. Reconfigurable RGB Video Test Pattern Generator CALIFORNIA STATE UNIVERSITY, NORTHRIDGE Reconfigurable RGB Video Test Pattern Generator A graduate project submitted in partial fulfillment of the requirements For the degree of Master of Science in Electrical

More information

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project COE758 Xilinx ISE 9.2 Tutorial 2 ChipScope Overview Integrating ChipScope Pro into a project Conventional Signal Sampling Xilinx Spartan 3E FPGA JTAG 2 ChipScope Pro Signal Sampling Xilinx Spartan 3E FPGA

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

ECE 3401 Lecture 12. Sequential Circuits (II)

ECE 3401 Lecture 12. Sequential Circuits (II) EE 34 Lecture 2 Sequential ircuits (II) Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

ECE 341. Lecture # 2

ECE 341. Lecture # 2 ECE 341 Lecture # 2 Instructor: Zeshan Chishti zeshan@pdx.edu October 1, 2014 Portland State University Announcements Course website reminder: http://www.ece.pdx.edu/~zeshan/ece341.htm Homework 1: Will

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

... User Guide - Revision /23/04. H Happ Controls. Copyright 2003, UltraCade Technologies UVC User Guide 1/23/2004

... User Guide - Revision /23/04. H Happ Controls. Copyright 2003, UltraCade Technologies UVC User Guide 1/23/2004 H Happ Controls 106 Garlisch Drive Elk Grove, IL 60007 Tel: 888-289-4277 / 847-593-6130 Fax: 847-593-6137 wwwhappcontrolscom User Guide - Revision 201 01/23/04 Copyright 2003, UltraCade Technologies UVC

More information

AbhijeetKhandale. H R Bhagyalakshmi

AbhijeetKhandale. H R Bhagyalakshmi Sobel Edge Detection Using FPGA AbhijeetKhandale M.Tech Student Dept. of ECE BMS College of Engineering, Bangalore INDIA abhijeet.khandale@gmail.com H R Bhagyalakshmi Associate professor Dept. of ECE BMS

More information

Bachelor of Technology (Electronics and Instrumentation Engg.)

Bachelor of Technology (Electronics and Instrumentation Engg.) 1 A Project Report on Embedded processor design and Implementation of CAM In partial fulfillment of the requirements of Bachelor of Technology (Electronics and Instrumentation Engg.) Submitted By Jaswant

More information

FSM Implementations. TIE Logic Synthesis Arto Perttula Tampere University of Technology Fall Output. Input. Next. State.

FSM Implementations. TIE Logic Synthesis Arto Perttula Tampere University of Technology Fall Output. Input. Next. State. FSM Implementations TIE-50206 Logic Synthesis Arto Perttula Tampere University of Technology Fall 2016 Input Next State Current state Output Moore Acknowledgements Prof. Pong P. Chu provided official slides

More information

T2210HD/T2210HDA 21.5 Wide-Screen LCD Monitor User Manual

T2210HD/T2210HDA 21.5 Wide-Screen LCD Monitor User Manual T2210HD/T2210HDA 21.5 Wide-Screen LCD Monitor User Manual Table of Contents Package contents...3 Installation...4 To connect the monitor to your PC... 4 Adjusting your monitor...5 Functions of the buttons

More information

PC/HDTV to PC/HDTV converter (CP-251F)

PC/HDTV to PC/HDTV converter (CP-251F) PC/HDTV to PC/HDTV converter (CP-251F) Operation Manual This Converter has been especially modified to also accept RGsB Sync on Green Operation Controls and Functions Front Panel 1. Reset/ and +- The and

More information

Using HERON modules with FPGAs to connect to FPDP

Using HERON modules with FPGAs to connect to FPDP HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk www.hunteng.co.uk www.hunt-dsp.com Using

More information

ThedesignsofthemasterandslaveCCBFPGAs

ThedesignsofthemasterandslaveCCBFPGAs ThedesignsofthemasterandslaveCCBFPGAs [Document number: A48001N004, revision 12] Martin Shepherd, California Institute of Technology December 29, 2005 This page intentionally left blank. 2 Abstract TheaimofthisdocumentistodetailthedesignofthefirmwareintheCCBslaveand

More information

Feedback Sequential Circuits

Feedback Sequential Circuits Feedback Sequential Circuits sequential circuit output depends on 1. current inputs 2. past sequence of inputs current state feedback sequential circuit uses ordinary gates and feedback loops to create

More information

VGA 8-bit VGA Controller

VGA 8-bit VGA Controller Summary This document provides detailed reference information with respect to the VGA Controller peripheral device. Core Reference CR0113 (v3.0) March 13, 2008 The VGA Controller provides a simple, 8-bit

More information

CS/EE Homework 6

CS/EE Homework 6 CS/EE 260 - Homework 6 Due 3/16/2000 1. Use VHDL to design the 4 bit arithmetic unit specified in problem 4 of homework 5 (you may borrow from the posted solution, if you wish). Use a dataflow description

More information

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC or SoC Supplied as human readable VHDL (or Verilog) source code Output supports full flow control permitting

More information

ECE 532 PONG Group Report

ECE 532 PONG Group Report ECE 532 PONG Group Report Chirag Ravishankar (995399108) Durwyn D Silva (994761496) Jeffrey Goeders (993367566) April 5, 2010 Contents 1 Overview... 3 1.1 Goals... 3 1.2 Background... 3 1.3 System Overview...

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Smooth Ternary Signaling For Deep-Submicron(DSM) Buses

Smooth Ternary Signaling For Deep-Submicron(DSM) Buses Smooth Ternary Signaling For Deep-Submicron(DSM) Buses By Robert Endicott Hanson and Ryan Ian Fullerton Advisor: Vladimir Prodanov Senior Project Bachelor of Science Electrical Engineering Program California

More information

VSP 168HD Quick Start

VSP 168HD Quick Start VSP 168HD Quick Start Support 10Gbps of transmission rate Support HDBaseT protocols and standards Support USB upgrade Max 2048 1152@60Hz/2560 816 60Hz input/output resolution Support custom output resolution

More information

An Efficient SOC approach to Design CRT controller on CPLD s

An Efficient SOC approach to Design CRT controller on CPLD s A Monthly Peer Reviewed Open Access International e-journal An Efficient SOC approach to Design CRT controller on CPLD s Abstract: Sudheer Kumar Marsakatla M.tech Student, Department of ECE, ACE Engineering

More information

CYPRESS TECHNOLOGY CO., LTD.

CYPRESS TECHNOLOGY CO., LTD. (1). Introduction Congratulations on your purchase of the Cypress Video Scaler CSC-200P. Our professional Video Scaler products have been serving the industry for many years. In addition to Video Scalers,

More information

Fixed-Point Calculator

Fixed-Point Calculator Fixed-Point Calculator Robert Kozubiak, Muris Zecevic, Cameron Renny Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester, MI rjkozubiak@oakland.edu,

More information

15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833

15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833 15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833 Operation Manual Introduction This monitor is an open frame LCD Panel monitor. It features the VESA plug & play system which allows the monitor

More information

Testing Results for a Video Poker System on a Chip

Testing Results for a Video Poker System on a Chip Testing Results for a Video Poker System on a Chip Preston Thomson and Travis Johnson Introduction- This report examines the results of a system on a chip SoC video poker system. The report will begin

More information

Virtual Basketball: How Well Do You Shoot?

Virtual Basketball: How Well Do You Shoot? Final Project Report Virtual Basketball: How Well Do You Shoot? Group #3: Chun Li & Jingwen Ouyang May 17, 2007 6.111 Introductory Digital Systems Laboratory Primary TA: Javier Castro ABSTRACT: Inspired

More information

LOCAL DECODING OF WALSH CODES TO REDUCE CDMA DESPREADING COMPUTATION. Matt Doherty Introductory Digital Systems Laboratory.

LOCAL DECODING OF WALSH CODES TO REDUCE CDMA DESPREADING COMPUTATION. Matt Doherty Introductory Digital Systems Laboratory. LOCAL DECODING OF WALSH CODES TO REDUCE CDMA DESPREADING COMPUTATION Matt Doherty 6.111 Introductory Digital Systems Laboratory May 18, 2006 Abstract As field-programmable gate arrays (FPGAs) continue

More information

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline EECS150 - Digital Design Lecture 12 - Video Interfacing Oct. 8, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information