K.T. Tim Cheng 07_dft, v Testability

Size: px
Start display at page:

Download "K.T. Tim Cheng 07_dft, v Testability"

Transcription

1 K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation time Fault simulation time Fault location time Test equipment cost K.T. Tim Cheng 07_dft, v1.0 1

2 Design for Testability Goal: keep test cost within a reasonable bound and ensure product quality exceeds desired level Definition - Design for Testability (DFT) refers to those design techniques that make test generation and testing cost-effective and ensure high-quality testing Some DFT methods: 1. Ad-hoc methods, design reviews, etc.. Scan, full and partial 3. Built-in self-test (BIST) 4. Boundary scan K.T. Tim Cheng 07_dft, v1.0 3 Important Factors of Testability Controllability: Measure the ease of controlling a line. Observability: Measure the ease of observing a line at a PO In general, DFT deals with ways for improving controllability and observability K.T. Tim Cheng 07_dft, v1.0 4

3 Costs Associated with DFT Pins Area/Yield Performance Design time There s no free lunch!! K.T. Tim Cheng 07_dft, v1.0 5 Ad Hoc Design For Testability Design guidelines Avoid asynchronous logic Avoid clock gating Insert test points Disadvantages High fault coverage not guaranteed Design iterations required K.T. Tim Cheng 07_dft, v

4 Test Point Insertion Employ test points to enhance Controllability Observability CP: Control Points Primary inputs used to enhance controllability OP: Observation Points Primary outputs used to enhance observability K.T. Tim Cheng 07_dft, v1.0 7 Example OP W X Y Z G1 G G3 G4 G5 G6 CP K.T. Tim Cheng 07_dft, v

5 Modifications 0 1 X X X Observe X 0 1 X X X X 0 X X 1 X X Control Points K.T. Tim Cheng 07_dft, v1.0 9 Problems Large number of I/O pins Add MUX s to reduce number of I/O pins Serially shifts control point values Long testing time K.T. Tim Cheng 07_dft, v

6 General Architecture Using Test Points Tied to Scan Registers S X Z X' Z' R1 R Control Observe K.T. Tim Cheng 07_dft, v Partitioning Using Transparent Registers B B R A E C A R E R C D Sin Sout R D K.T. Tim Cheng 07_dft, v

7 Scan Design Objective: To provide controllability and observability of internal state variables for testing Method: Add test mode control signal(s) to circuit Connect flip-flops to form shift register(s) in test mode Make inputs/outputs of the test shift registers controllable/observable K.T. Tim Cheng 07_dft, v The Scan Concept Primary Inputs Mode Swithch Combinational Logic Primary Outputs Scan in FF FF FF Scan out K.T. Tim Cheng 07_dft, v

8 Tests for Full-Scan Circuits Test generation for combinational logic only Denote the test vectors and response data based on PI, PO and state variables t i = t ii, t F i i = 1,,, n r i = r io, r F i Test application 1. Scan-in t if by setting the circuit in test mode. Apply t i I 3. Observe r i O 4. Set the circuit in functional mode and capture the response r if into scan register 5. Scan-out r if while scanning -in t i+1 F by setting the circuit in test mode 6. i i+1. Goto K.T. Tim Cheng 07_dft, v Scan Flip-Flop (SFF) D Master latch Slave latch TC Logic overhead Q SD MUX Q CK D flip-flop CK Master open Slave open t TC Normal mode, D selected Scan mode, SD selected t K.T. Tim Cheng 07_dft, v

9 Level-Sensitive Scan-Design Flip- Flop (LSSD-SFF) Master latch Slave latch D Q MCK Q SCK D flip-flop SD TCK Logic overhead MCK TCK MCK TCK Normal mode Scan mode SCK t K.T. Tim Cheng 07_dft, v Scan Design Rules Use only clocked D-type of flip-flops for all state variables. All flip-flop clocks must be controlled from primary inputs Clocks must not feed data inputs of flip-flops All asynchronous preset or clear of flip-flops must be disabled during scan The circuit cannot have bus contention during scan shifting Memory arrays must support write-lock during scan shifting. K.T. Tim Cheng 07_dft, v

10 Scan Rule Violation Example D1 D Flip Flop Q1 D D Flip Flop Q Clock Rule Violation D1 Clock D Q1 Flip Flop D D Flip Flop Q A Workaround K.T. Tim Cheng 07_dft, v Bus Contention: Normal Mode In normal system operation, it is assumed that there will not be bus contention. This assumption cannot be justified in the scanshift cycle for scan design and/or in the test sequence generated by ATPG. Therefore add disabling logic unless... RECOMMEND Fully Decoded Enables! d q clk qn dff data_1 d q clk qn dff data_ K.T. Tim Cheng 07_dft, v

11 Add Logic to Prevent Bus Contention in Scan Mode Automatically add real disabling logic scan_enable scan_in d q sclk clk qn csdff data_1 Inactive scan_in d q sclk clk qn csdff data_ Active K.T. Tim Cheng 07_dft, v1.0 Some Problems with Full Scan Area overhead Possible performance degradation Long test application time Not applicable to all designs (e.g. asynchronous designs, designs violating scan design rules) High power dissipation during testing K.T. Tim Cheng 07_dft, v1.0 11

12 Standard-Cell Design Layout Polycell Rows Routing Channels K.T. Tim Cheng 07_dft, v1.0 3 Layout of Scan Circuit Scan-Out MODSW Scan Flip-Flops Scan-in K.T. Tim Cheng 07_dft, v

13 Area Overhead Due to larger flip-flops Due to extra routing Performance Overhead Increase in delay of normal data paths includes Extra gate delay due to the multiplexer Extra capacitive loading delay due to scan wiring at the flip-flop output K.T. Tim Cheng 07_dft, v1.0 5 Issues for Multiple-Clock Design Clock skew might occur between different domains To minimize skew during scan shift, scan chains should be ordered s.t. all FFs in same clock domain are grouped together minimizing locations where clock skew can occur To completely avoid skew where the scan/clock domains cross, a lockup latch can be inserted. A B Y SI SE CLK1 CLK D SET SFF1 CLR Q Q D SET Q SFF CLR Q D L SET LL1 CLR Q Q SET D Q SO SFF3 CL R Q Lockup latch K.T. Tim Cheng 07_dft, v

14 Issues for MC Design Cont d To avoid clock skew during capture, pulse only one clock per pattern Resulting in high pattern count (long test time) Start load_unload shift Pattern 1 Pattern shift capture Start load_unload shift shift capture TClk1 TClk TClk3 TClk4 Optimization: Perform clock domain analysis to identify independent clock domains and/or clocks that can be safely pulsed simultaneously Pattern 1 Pattern Start load_unload Start shift shift capture shift load_unload shift capture Scan Enable TClk1 TClk TClk3 TClk4 K.T. Tim Cheng 07_dft, v1.0 7 General Issues of Scan Design Scan chain ordering To prevent skew during shift To minimize routing overhead Use placement info to determine a good ordering Balancing scan chains To minimize total test time Total scan cycles = (Scan patterns +1)*(Length of longest scan chains) # of scan chains is normally limited by the package (pins available to borrow or dedicate for scan) as well as the tester (channels available with memory depth that can handle scan vectors). K.T. Tim Cheng 07_dft, v

15 Partial Scan Basic idea Select a subset of flip-flops for scan lower overhead (area and speed) Relaxed design rules Cycle-breaking technique Cheng & Agrawal, IEEE Trans. on Computers, 1990 Select scan flip-flops to simplify sequential ATPG Timing-driven partial scan Jou & Cheng, ICCAD, Nov Allow optimization of area, timing and testability simultaneously K.T. Tim Cheng 07_dft, v1.0 9 What Makes ATPG Difficult? Poor controllability and observability of memory elements Structure-dependence Circuit N o. of g ates No. of flip-flop s Sequentia l d ep th Test gen. CPU sec. Fault coverag e TLC % Chip-A % Gate count, memory element count, and sequential depth do not explain the results Cycles in the circuit are mainly responsible for the test generation complexity K.T. Tim Cheng 07_dft, v

16 Directed Graph A Synchronous Sequential Circuit A circuit with eight flip-flops 3 D 1 L= L=1 L=1 Graph of the ciruit L=1 7 8 L= K.T. Tim Cheng 07_dft, v Test Length In A Sequential Ckt D: Sequential depth (the distance along the longest path in its graph) L: Maximum length of any cycle Test generation complexity of a cycle-free circuit (pipeline structure) is similar to that of a comb. ckt In a circuit with depth D, any single-sa fault can be tested by at most D+1 vectors The length of a test sequence ~ D L K.T. Tim Cheng 07_dft, v

17 Partial Scan For Cycle-free Structure Select minimal set of flip-flops to eliminate some or all cycles Self-loops (cycles of unit length ) are not broken to the scan overhead low The number of self-loops in real design can be quite large Limit the length of consecutive self-loop paths Long consecutive self-loop paths in large circuits may pose problems to sequential ATPG K.T. Tim Cheng 07_dft, v Example: Directed Graph Of A Synchronous Sequential Ckt A circuit with eight flip-flops 3 D 1 L= L=1 L=1 Graph of the ciruit L=1 7 8 L= K.T. Tim Cheng 07_dft, v

18 A Cycle-Breaking Algorithm Lee - Reddy algorithm (ICCAD 90) Begin graph reduction while (graph is not completely reduction) do begin heuristic node selection graph reduction end end K.T. Tim Cheng 07_dft, v basic operations (a) Source operation Graph Reduction V e 1e e 3 Remove V, e 1, e & e 3 (b) Sink operation e 1 e V Remove V, e 1, e & e 3 e 3 K.T. Tim Cheng 07_dft, v

19 (c) Self-loop operation e 1 V e 3 e e 4 Select V & remove V, e 1, e, e 3 & e 4 (d) Unit - in operation e 1 V e 5 V e 3 e 1 Merge V into V e V e 5 e 3 e e 4 e 4 K.T. Tim Cheng 07_dft, v (e) Unit - out operation e 1 V V e 3 e 1 Merge V into V e V e 3 e e 4 e 4 Heuristic Node Selection Selects node with maximum ( in_degree * out_degree) and removes it and its incident edges K.T. Tim Cheng 07_dft, v

20 Clocking Schemes for Partial Scan Circuits Scheme 1: Use a separate scan clock (dff+csff) scanpath sysclk scanclk Primary Inputs Combinational Logic } Combinational Logic Primary Outputs } K.T. Tim Cheng 07_dft, v Clocking Schemes for Partial Scan Circuits Scheme : Gate the system clock (dff+mdff) scan enable sysclk scan path Primary inputs Combinational Logic } Combinational Logic Primary outputs gated clock } non-scan cells K.T. Tim Cheng 07_dft, v

21 Partial Scan With a Separate Scan Clock or Gated Clock Purpose: Freeze the values in non-scan FFs during scan mode Disadvantage: Require multiple clock trees and cause extra clocksignal routing efforts Advantage: ATPG is easier: scan FFs are fully controllable & observable; can be treated as PI/PO for ATPG Test generation procedure: Scan FFs are removed and their input and output signals are added to the PO/PI lists A sequential ATPG is used for test generation The vector sequences are then converted into scan sequences: Each vector is preceded by a scan-in sequence to set the required values in scan FFs A scan-out sequence is added at the end of each vector sequence to observe the values captured in scan FFs K.T. Tim Cheng 07_dft, v Test Gen. Model - A Separate Scan Clock or Gated Clock I 1 I I n ScanIn PPI 1 PPI m PS 1 PS PS k O 1 O O n PPO 1 PPO m NS 1 NS NS k Time frame 1 system clock Time frame system clock system clock Time frame N K.T. Tim Cheng 07_dft, v

22 Experimental Results - TLC (A Toy Ckt w/ 355 Gates, 1 FFs) No. of Scan flipflops Max. cycle length Depth Test Gen. CPU sec. Fault sim. CPU sec. Fault Coverage No. of tests Total vectors % % % % % K.T. Tim Cheng 07_dft, v Test Length Statistics For TLC No. of Fault No. of Fault No. of Fault Without Scan Test lenght scan flip-flops Test lenght scan flip-flops Test lenght K.T. Tim Cheng 07_dft, v1.0 44

23 Clocking Schemes for Partial Scan Ckts Scheme 3: Use the system clock as a scan clock but without gating the clock* scan enable sysclk scan path Primary inputs Combinational Logic } Combinational Logic Primary outputs } non-scan cells Ref: Cheng, Single-Clock Partial Scan, IEEE Design and Test of Computers, June K.T. Tim Cheng 07_dft, v Using System Clock for Scan Operation The contents of the non-scan FFs may change during the scan operations ATPG needs to deal with it - test generation process is more complicated The fault coverage may be slightly lower than that of two-clock partial scan designs The total test length (including scan sequences) is usually shorter than that of two-clock PS designs K.T. Tim Cheng 07_dft, v

24 Test Generation Model - Clocking Scheme 3 Scan Shifting I 1 I I n ScanIn PPI 1 PPI m PS 1 PS PS k O 1 O O n PPO 1 PPO m NS 1 NS NS k Time frame 1 system clock Time frame Test Mode system clock system clock Time frame N Functional mode K.T. Tim Cheng 07_dft, v Test Generation Model - Clocking Scheme 3 Functional Justification I 1 I I n ScanIn SI 1 SI m PS 1 PS PS k O 1 O O n SO 1 SO m NS 1 NS NS k Time frame 1 system clock Time frame system clock Functional Mode system clock Time frame N K.T. Tim Cheng 07_dft, v

25 Area Growth vs.atpg Effort CPU Time Real-estate growth Test Generation Effort real-estate growth 0% 15% ATPG complexity 10% 5% non-scan only self loops remain feedback free circuit full scan K.T. Tim Cheng 07_dft, v Timing-Driven Partial Scan Aim at reducing both area overhead and performance degradation caused by test logic Timing analysis data can be used to guide scan flipflop selection Avoid selecting flip-flops on critical paths Can be incorporated in existing logic synthesis system to satisfy or trade-off design constraints in terms of area, performance and testability Testability Area Performance K.T. Tim Cheng 07_dft, v

26 Summary-Seq. ATPG & Partial Scan The combination of sequential ATPG and partial scan offers a cost-effective solution Cycle breaking is an effective heuristic for scan flipflop selection to simplify sequential ATPG Timing analysis data can be incorporated in the FF selection process to minimize performance degradation There are choices in clocking schemes Commercial tools are available to support this methodology K.T. Tim Cheng 07_dft, v Primary Reasons For Using IEEE JTAG Boundary Scan (1) To allow efficient testing of board interconnect () To facilitate isolation and testing of chips via the test bus (3) To reuse the chip level tests at the board level K.T. Tim Cheng 07_dft, v

27 IEEE JTAG Boundary Scan All primary inputs/outputs latched and connected in a shift register in test mode A test access port added with following signals: TMS Test mode signal TCK Test clock TDI Test data input TDO Test data output Test instructions & test data are sent to a chip over TDI Test results & status information are sent from a chip over TDO TAP controller is an FSM that decodes the state of the bus. K.T. Tim Cheng 07_dft, v Boundary Scan Architecture Boundary - Scan Path Scannable Register To other scannable registers Identity Bypass Instruction Control MUX TDI TMS TCK TDO Test Access Port (TAP) System Logic K.T. Tim Cheng 07_dft, v

28 Boundary Scan Cell IN SIN Shift DR 0 MUX 1 Clock DR QA Sout Update DR QB 0 MUX S 1 Mode control Out 1. Normal mode: Mode-control = 0. Scan mode: Shift DR = 1 First scan FF is driven by TDI Last scan FF drives TDO 3. Capture mode: Shift DR = 0 4. Update mode: Mode-control = 1 K.T. Tim Cheng 07_dft, v Board & Chip Test Modes (1) External test mode 1 Chip 1 Chip update operation capture operation () Sample Test Mode: The I/O data associated with a chip can be sampled during normal system operation. The sampled data can be scanned out while the board remains in normal operation. (3) Internal Test Mode Scan BIST K.T. Tim Cheng 07_dft, v

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction 1 國立清華大學電機系 EE-6250 超大型積體電路測試 VLSI Testing Chapter 5 esign For Testability & Scan Test Outline Introduction Why FT? What is FT? Ad-Hoc Approaches Full Scan Partial Scan ch5-2 2 Why FT? irect Testing is

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING Except where reference is made to the work of others, the work described in this dissertation is my own or was

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm Technical Publication esigns with Multiple Clock omains: Avoiding Clock Skew and Reducing Pattern Count Using FTAdvisor tm and FastScan tm Mentor Graphics Corporation March 2001 www.mentor.com/dft esigns

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

Chapter 10 Exercise Solutions

Chapter 10 Exercise Solutions VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 1/10 Chapter 10 Exercise Solutions 10.1 The following is just an example for testing chips and interconnects on a board.

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL MULTI-CYCLE AT SPEED TEST A Thesis by MALLIKA SHREE POKHAREL Submitted to the Office of Graduate and Professional Studies of Texas A&M University in partial fulfillment of the requirements for the degree

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo Diagnostic Test Generation for Path Delay Faults in a Scan Circuit by Zeshi Luo A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Tom Waayers Richard Morren Xijiang Lin Mark Kassab NXP semiconductors High Tech Campus 46 5656

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

Chip-Level DFT: Some New, And Not So New, Challenges

Chip-Level DFT: Some New, And Not So New, Challenges 2004 Southwest DFT Symposium B A DFT Open Day Chip-Level DFT: Some New, And Not So New, Challenges Ben Bennetts, DFT Consultant Bennetts Associates, UK Tel: +44 1489 581276 E-mail: ben@dft.co.uk http://www.dft.co.uk/

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS) DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS) 1 iclicker Question 16 What should be the MUX inputs to implement the following function? (4 minutes) f A, B, C = m(0,2,5,6,7)

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

Based on slides/material by. Topic Testing. Logic Verification. Testing

Based on slides/material by. Topic Testing. Logic Verification. Testing Based on slides/material by Topic 4 K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html igital Integrated Circuits: A esign Perspective, Prentice

More information

Assembling Scan Structures 5

Assembling Scan Structures 5 5 Assembling Scan Structures 5 Before assembling the scan structures, you must specify the scan architecture and preview the resulting scan structures. This chapter includes the following sections: Specifying

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 4: Latches, Flip-Flops, and Sequential Circuits Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization Clock - key to synchronous systems Topic 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization Clock - key to synchronous systems Lecture 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Impact of Test Point Insertion on Silicon Area and Timing during Layout Impact of Test Point Insertion on Silicon Area and Timing during Layout Harald Vranken Ferry Syafei Sapei 2 Hans-Joachim Wunderlich 2 Philips Research Laboratories IC Design Digital Design & Test Prof.

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing Traversing igital esign EECS - Components and esign Techniques for igital Systems EECS wks 6 - Lec 24 Sequential Logic Revisited Sequential Circuit esign and Timing avid Culler Electrical Engineering and

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

Sequential Logic Basics

Sequential Logic Basics Sequential Logic Basics Unlike Combinational Logic circuits that change state depending upon the actual signals being applied to their inputs at that time, Sequential Logic circuits have some form of inherent

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Full scan testing of handshake circuits. Frank J. te Beest

Full scan testing of handshake circuits. Frank J. te Beest Full scan testing of handshake circuits Frank J. te Beest 2003 Ph.D. thesis University of Twente Twente University Press Also available in print: http://www.tup.utwente.nl/ Full scan testing of handshake

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Combinational / Sequential Logic

Combinational / Sequential Logic Digital Circuit Design and Language Combinational / Sequential Logic Chang, Ik Joon Kyunghee University Combinational Logic + The outputs are determined by the present inputs + Consist of input/output

More information

UNIT IV. Sequential circuit

UNIT IV. Sequential circuit UNIT IV Sequential circuit Introduction In the previous session, we said that the output of a combinational circuit depends solely upon the input. The implication is that combinational circuits have no

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs ECEN454 igital Integrated Circuit esign Sequential Circuits ECEN 454 Combinational logic Sequencing Output depends on current inputs Sequential logic Output depends on current and previous inputs Requires

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Testing and Design for Testability Instructor: Maria K. Michael MKM - 1 Overview VLSI realization process Role of testing, related cost Basic Digital VLSI

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

At-Speed Transition Fault Testing With Low Speed Scan Enable

At-Speed Transition Fault Testing With Low Speed Scan Enable At-Speed Transition Fault Testing With Low Speed Scan Enable 1 Nisar Ahmed, C. P. Ravikumar AS Product Development Center Teas Instruments India Bangalore - 560 093 n-ahmed2,ravikumar @ti.com Mohammad

More information

Nodari S. Sitchinava

Nodari S. Sitchinava Dynamic Scan Chains A Novel Architecture to Lower the Cost of VLSI Test by Nodari S. Sitchinava Submitted to the Department of Electrical Engineering and Computer Science in partial fulfillment of the

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing q Two-Phase Clocking 2 Sequencing q Combinational logic output depends

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Logic BIST for Large Industrial Designs: Real Issues and Case Studies Logic BIST for Large Industrial Designs: Real Issues and Case Studies Graham Hetherington and Tony Fryars Nagesh Tamarapalli, Mark Kassab, Abu Hassan, and Janusz Rajski Texas Instruments, Ltd. Mentor Graphics

More information

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering NCTU CHIH-LONG CHANG IRIS HUI-RU JIANG YU-MING YANG EVAN YU-WEN TSAI AKI SHENG-HUA CHEN IRIS Lab National Chiao Tung University

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Outline. CPE/EE 422/522 Advanced Logic Design L03. Review: Clocked D Flip-Flop with Rising-edge Trigger. Sequential Networks

Outline. CPE/EE 422/522 Advanced Logic Design L03. Review: Clocked D Flip-Flop with Rising-edge Trigger. Sequential Networks Outline PE/EE 422/522 Advanced Logic Design L3 Electrical and omputer Engineering University of Alabama in Huntsville What we know ombinational Networks Analysis, Synthesis, Simplification, Buiing Blocks,

More information

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing CPE/EE 427, CPE 527 VLSI esign I Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) Combinational

More information

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

CSE115: Digital Design Lecture 23: Latches & Flip-Flops Faculty of Engineering CSE115: Digital Design Lecture 23: Latches & Flip-Flops Sections 7.1-7.2 Suggested Reading A Generic Digital Processor Building Blocks for Digital Architectures INPUT - OUTPUT Interconnect:

More information

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information