Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Size: px
Start display at page:

Download "Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa"

Transcription

1 Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services (AGS) Semicon Europe October, 2012

2 AGENDA Applied Materials Technology Overview MEMS Activities & Equipment 200mm DRIE Technologies For MEMS

3 The Global Strength of Applied Materials Stock Ticker: Market Cap: Fiscal 2011 Revenue: Fiscal 2011 R&D: Nasdaq: AMAT $16.8 billion $10.5 billion $1.1 billion Founded: November 10, 1967 Headquarters: Global Presence: Santa Clara, California 87 locations in 19 countries Fortune 500 Ranking: 259 RD&E and/or Manufacturing Centers: Employees: Patents: China, Germany, Israel, Italy, Singapore, Switzerland, Taiwan, United States ~14,600 worldwide ~9,500 issued * Information as of Q1 12 except FY 11 amounts Fiscal year-end October 30, 2011

4 Global Scale and Reach Massachusetts Israel Montana China California Texas India Singapore More than $1Billion invested annually in RD&E 4

5 Business Segments Semiconductor Display Energy and Environmental Solutions Applied Global Services 5

6 Continued Technology Development 200mm market growth driven by consumer electronics, automotive, industrial and medical applications LED TFB & Others Discrete Power MEMS Analog APPLIED MATERIALS Over 40 years of technology development to enable life-changing innovations * All images used with owners permission

7 MEMS - Enabling an Ecosystem Enhancing our lives Safety Functionality Inkjet Print Head Airbag Sensor Move Miniaturization Increasing adoption Pico Projector Mini Speaker ipad Smartphone Guitar Hero PlayStation Early Applications Emerging Applications Microphones Accelerometers Gyroscopes

8 Expansion of MEMS Capabilities Applied Materials Supports MEMS Production and R&D DEVELOPING NEW EQUIPMENT, MATERIALS AND PROCESSES FOR MEMS Aluminum Nitride (AlN) Thick Aluminum (Al) RF and Mechanical Applications High Power Devices Sub-Micron MEMS Working within the Global MEMS Community

9 200mm MEMS Equipment Portfolio Applied Materials is growing its 200mm MEMS portfolio

10 Advanced Deposition Processes Adding new film processes in support of MEMS ECD Metal (>100µm) Single Step ( 10µm) Low Temp SiGe Epi-Silicon ( 50µm to >100µm) SiO 2 Layer Ge Layer Al Layer Silicon Si Layer PVD AlN ( 2.5µm) (nu. << (0.5%, 6 ), (0.7%, 8 )) Thick ( 40µm) CVD SiO 2 High Dep Rate PVD Ge

11 Cutting Edge MEMS DRIE Technology MEMS Fabrication Requirements Continue To Evolve Applications like TSV or SOI for MEMS continue to drive tool improvements The evolution of MEMS device design also drives development of new fabrication equipment and capabilities Sub-Micron MEMS Higher Wafer Throughput Enhanced WIW Uniformity Enhanced Mask Selectivity Ultra Small Sidewall Scalloping

12 Latest DRIE Technologies DPS DTM DRIE Chamber for MEMS, Power Devices and TSV No In-Situ Chamber Clean allows best in class wafer throughput and extends Mean Time Between Cleans far beyond 500 RF Hrs! Tunable Source Coil Technology enables WIW etch depth and sidewall profile nu. < 2 % Hard Mask-Open Capability with dielectric etch rates approaching 1µm/min! High Speed Gas Switching enabling Bosch process with << 1sec etch and deposition times Low Temperature (-20 C) Capability for increased selectivity and sidewall profile control Pulsed High Power Low Frequency Generator eliminating undercut on SOI wafers Parameter Ramping with enhanced recipe control Steady State and Bosch Process Capable DPS DTM Chamber (Actual Photo Shown)

13 Improvements in TSV for MEMS Flexible process recipe execution for high throughput Bulk Silicon 200µm 400µm CD 200 µm Depth 400 µm Etch Rate 22 µm/min Uniformity 2.5% (M-m) Sidewall Profile 90 ±1 Sidewall Scallop 170nm * On-Wafer Results TSV Structure For MEMS * Illustration Only TSV Structure For MEMS Higher Etch Rates combined with greater Polymer Management Technology to deliver best in class throughput

14 Improvements in SOI for MEMS Variable Pulsed Bias Power provides minimized notching when landing on buried oxide layers during SOI etch applications 400 nm Silicon >15µm * On-Wafer Result Device Layer / BOX Interface Buried Oxide 1µm Silicon Handle Wafer * Illustration Only - SOI Structure For MEMS Delivering a pulsed bias power to the wafer chuck removes charge build up that occurs at the buried oxide layer interface

15 Improvements in Scallops and Undercut Process Control For The Most Demanding Requirements Mask Undercut 17nm! Sidewall Scallops 13nm! High Speed Gas Switching combined with Polymer Management Technology

16 Improvements in HAR Etch for MEMS Sub-Micron CDs for Next Generation MEMS CD ~ 0.55µm CD ~ 0.2µm CD ~ 0.4µm Depth ~ 33µm Depth ~ 15µm Depth ~ 35µm

17 Improvements in Productivity for MEMS Greater than 500RF Hours Between Dry Cleans! Lot to Lot and wafer to wafer repeatability < 3.5% RF Hr Marathon Etch Depth Etch Depth (µm) Chamber inspection RF HOURS 0 RF Hours 500 RF Hours (Wafer on ESC) Process kit: minimal deposition after 500 RF hour processing and no chamber cleans Two points at ~200hrs in the graph above as a baseline was rerun after chamber inspection.. ER increased after chamber inspection, due to atmospheric exposure 48 RF Hours 500 RF Hours Trench SEMs: Etch Depth & profile are consistent throughout the marathon

18 Improvements in Productivity for MEMS Greater than 500RF Hours Between Dry Cleans! 85 Lot-To-Lot Depth Performance Etch Depth (µm) Chamber Inspection Repeatability: Av: 72.25µm / Range 4µm RF Hours * Lot based on cassette of 25 8 wafers

19 Improvements in Productivity for MEMS Greater than 500RF Hours Between Dry Cleans! 92.0 Lot-To-Lot Sidewall Profile Performance Profile Angle ( ) Chamber Inspection Repeatability: Av: 90.5 / Range: RF Hours * Profile Angle based upon CD Measurements * Lot based on cassette of 25 8 wafers

20 DRIE for Next Generation MEMS Sub-Micron DRIE for MEMS Applications l g t Note Image taken from early development of submicron MEMS process and shows CDs and Etch Depths

21 DRIE for Next Generation MEMS Sub-Micron DRIE for MEMS Applications CD ~ 0.2 µm Shrunken die sizes Reduced die costs Increased throughput Lowered drive voltage Enhanced device performance Depth ~ 22 µm Reduced material thicknesses leading to throughput gains in other areas of wafer fab these are some of the design options enabled by a DRIE process that delivers sub-micron spacing while maintaining all other critical parameters.

22 Where To From Here? MEMS tremendous growth in the consumer market has brought considerable price and size/form-factor reduction pressure, requiring.. New Advanced Films For MEMS MEMS Process / Device Integration Thin Wafer Handling & 3D MEMS Monolithic CMOS Integration.300mm MEMS?

23 Conclusion Applied Materials currently offers DRIE, CVD, PVD, CMP, Release Etch and Metrology Tools for MEMS. Applied Materials is continuing to expand its MEMS product portfolio with the aim of providing a complete MEMS solution in support of all process technologies that are critical to MEMS development. For More information about Applied Materials MEMS product portfolio please contact Applied Materials Sales.

24

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

InvenSense Fabless Model for the MEMS Industry

InvenSense Fabless Model for the MEMS Industry InvenSense Fabless Model for the MEMS Industry HKSTP Symposium Aug 2016 InvenSense, Inc. Proprietary Outline MEMS Market InvenSense CMOS-MEMS Integration InvenSense Shuttle Program and Process MEMS MARKET

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

Sub-micron high aspect ratio silicon beam etch

Sub-micron high aspect ratio silicon beam etch Sub-micron high aspect ratio silicon beam etch Gary J. O Brien a,b, David J. Monk b, and Khalil Najafi a a Center for Wireless Integrated Microsystems, Dept. of Electrical Engineering and Computer Science

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Next Generation MEMS Manufacturing The ConFab Alissa M. Fitzgerald, Ph.D., Founder & Managing Member

Next Generation MEMS Manufacturing The ConFab Alissa M. Fitzgerald, Ph.D., Founder & Managing Member Next Generation MEMS Manufacturing The ConFab 2017 Alissa M. Fitzgerald, Ph.D., Founder & Managing Member Overview About AMFitzgerald Retrospective: MEMS technology history and markets Next generation

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Delft University of Technology Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Kluba, Marta; Arslan, Aslihan; Stoute, Ronald; Muganda, James; Dekker, Ronald

More information

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications Angela Kok, Thor-Erik Hansen, Trond Hansen, Geir Uri Jensen, Nicolas Lietaer, Michal Mielnik, Preben Storås

More information

Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation

Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation 2017 IEEE 67th Electronic Components and Technology Conference Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation Richard Barnett SPTS

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr March 2011 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

TA0311 TECHNICAL ARTICLE High Temperature Electronics 1 Introduction 2 Why the need for high-temperature semiconductors?

TA0311 TECHNICAL ARTICLE High Temperature Electronics 1 Introduction 2 Why the need for high-temperature semiconductors? TECHNICAL ARTICLE High Temperature Electronics 1 Introduction In the semiconductor world, there are numerous products specified with an industrial temperature range (-40/+85 C), and somewhat fewer with

More information

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Etching Part 2 Chapter : 16 Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra, Norwegian University of Science and Technology ( NTNU ) 2 Introduction

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory Electrical and Computer Engineering Department UNC Charlotte Teaching and Research Faculty (Please see faculty web pages for

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Forward-Looking Statements

Forward-Looking Statements Forward-Looking Statements Information in this presentation regarding MagnaChip s forecasts, business outlook, expectations and beliefs are forward-looking statements within the meaning of the Private

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Micro-Electro-Mechanical Systems MEMs Sensors: Market Strategies and Forecasts, Worldwide,

Micro-Electro-Mechanical Systems MEMs Sensors: Market Strategies and Forecasts, Worldwide, Micro-Electro-Mechanical Systems MEMs Sensors: Market Strategies and Forecasts, Worldwide, 2018-2024 Table of Contents Micro-Electro-Mechanical Systems MEMs Sensors: Executive Summary The study is designed

More information

DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS

DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS A M S D E S I G N & V E R I F I C A T I O N C A S E S T U D Y w w w. m e n t o r. c o m ABOUT THE MEMS MICROPHONE MARKET Knowles

More information

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden Michael Müller, Matthias List Outline FhG-IPMS

More information

Advanced MEMS Packaging

Advanced MEMS Packaging Advanced MEMS Packaging John H. Lau Chengkuo Lee C. S. Premachandran Yu Aibin Ш New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto Contents

More information

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions IN-VISION 2017. All rights reserved. IN-VISION GmbH B2B DLP Light Engine and Optical Solutions Company Long-term experience in development and manufacturing of high-end optical projection lens assemblies

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes http://cmp.imag.fr STMicroelectronics Technology offers at CMP: 160nm CMOS: BCD8SP 1994 at CMP 160nm

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

Adesto Acquires S3 Semiconductors

Adesto Acquires S3 Semiconductors Beyond Memories Adesto Acquires S3 Semiconductors May 9, 2018 A Brilliant Combination 3600 Peterson Way Santa Clara, California 95054 www.adestotech.com Who is Adesto Technologies? Inventing Application-Specific,

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES May 4, 2009 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Ken Wendel Quality Assurance Director, Reliability Engineering

More information

Description. Table 1. Device summary. Order codes Temperature range [ C] Package Packing. LPS2HBTR -30 to +105 HLGA - 10L

Description. Table 1. Device summary. Order codes Temperature range [ C] Package Packing. LPS2HBTR -30 to +105 HLGA - 10L MEMS pressure sensor: 260-1260 hpa absolute digital output barometer Applications Data brief Altimeter and barometer for portable devices GPS applications Weather station equipment Indoor navigation (Altitude

More information

Future of MEMS: Market and Technologies Perspective Dr. Eric MOUNIER, Yole Développement

Future of MEMS: Market and Technologies Perspective Dr. Eric MOUNIER, Yole Développement Future of MEMS: Market and Technologies Perspective Dr. Eric MOUNIER, Yole Développement mounier@yole.fr Content MEMS Markets MEMS Challenges Future Perspectives Conclusions MARKET 2012-2019 MEMS Markets

More information

EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV THIN-FILM PHOTOVOLTAICS. SCALA VISS PIA nova GC120VCR XENIA

EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV THIN-FILM PHOTOVOLTAICS. SCALA VISS PIA nova GC120VCR XENIA THIN-FILM PHOTOVOLTAICS EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV SCALA VISS PIA nova GC120VCR XENIA OUR STORY. OUR EXPERIENCE. RANGE OF APPLICATIONS VON ARDENNE provides advanced PVD coating equipment

More information

Lecture 20 Optical MEMS (2)

Lecture 20 Optical MEMS (2) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 20 Optical MEMS (2) Agenda: MOEMS Introduction Micromirrors EEL6935 Advanced MEMS 2005 H. Xie 3/30/2005 1 Optical MEMS Topics Introduction

More information

RELIABILITY REPORT FOR MAX44241AUA+T PLASTIC ENCAPSULATED DEVICES. September 8, 2014 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA

RELIABILITY REPORT FOR MAX44241AUA+T PLASTIC ENCAPSULATED DEVICES. September 8, 2014 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA RELIABILITY REPORT FOR MAX44241AUA+T PLASTIC ENCAPSULATED DEVICES September 8, 2014 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA 95134 Approved by Eric Wright Quality Assurance Reliability Engineering

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR EEE+ PLASTIC ENCAPSULATED DEVICES February 18, 2010 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Ken Wendel Quality Assurance Director, Reliability

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics RFSOI and FDSOI enabling smarter and IoT applications Kirk Ouellette Digital Products Group STMicroelectronics ST in the IoT already Today 2 Kirk Ouellette More then Moore Workshop - Shanghai - March 17,

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Global PET Film Marketplace; Impact on Converting Industry. Robert K Sinclair DuPont Teijin Films October 2004

Global PET Film Marketplace; Impact on Converting Industry. Robert K Sinclair DuPont Teijin Films October 2004 Global PET Film Marketplace; Impact on Converting Industry Robert K Sinclair DuPont Teijin Films October 2004 Agenda Overview Market Environment PET Film Industry Impact on Converters PET & PEN Films for

More information

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Tolis Voutsas* Paul Schuele* Bert Crowder* Pooran Joshi* Robert Sposili* Hidayat

More information

Vesper VM1000 Piezoelectric MEMS Microphone

Vesper VM1000 Piezoelectric MEMS Microphone Vesper VM1000 Piezoelectric MEMS Microphone MEMS report by Sylvain Hallereau February 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2017 System

More information

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C.

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Challenges for OLED Deposition by Vacuum Thermal Evaporation D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Roth June 7, 2011 Outline Introduction to Veeco Methods of OLED Deposition Cost

More information

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors Albert van Breemen Image sensors today 1 Dominated by silicon based technology on

More information

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650 Projects for Fall 2005 Mike quilino Dr. Lynn Fuller http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester,

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button!

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button! ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button! The ksa ScanningPyro metrology tool is designed to quickly, easily, and accurately generate full wafer carrier temperature maps

More information

RTNN Etch capabilities

RTNN Etch capabilities RTNN Etch capabilities A Partnership Between NC State University, Duke University, and UNC Chapel Hill Trion Minilock II: III-V RIE Trion Phantom II: Oxide/Nitride/Polymer SPTS Pegasus DRIE Trion Minilock

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

13th MOST Interconnectivity Conference 2012 MOST150 on the Road with Avago FOTs

13th MOST Interconnectivity Conference 2012 MOST150 on the Road with Avago FOTs 13th MOST Interconnectivity Conference 2012 MOST150 on the Road with Avago FOTs Thomas Lichtenegger Nov, 15 th 2012 Agenda Avago Avago Fiberoptics MOST150 Development Performance Characterization Quality

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

THE NEW LASER FAMILY FOR FINE WELDING FROM FIBER LASERS TO PULSED YAG LASERS

THE NEW LASER FAMILY FOR FINE WELDING FROM FIBER LASERS TO PULSED YAG LASERS FOCUS ON FINE SOLUTIONS THE NEW LASER FAMILY FOR FINE WELDING FROM FIBER LASERS TO PULSED YAG LASERS Welding lasers from ROFIN ROFIN s laser sources for welding satisfy all criteria for the optimized laser

More information

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490 STMicroelectronics Near Infrared Camera Sensor in the Apple iphone X The first NIR camera sensor with multiple innovations based on imager-silicon-oninsulator substrate from SOITEC, supplied and produced

More information

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS MEMS report by Romain Fraux October 2016 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2016

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

HCF4054B 4 SEGMENT LIQUID CRYSTAL DISPLAY DRIVER WITH STROBED LATCH FUNCTION

HCF4054B 4 SEGMENT LIQUID CRYSTAL DISPLAY DRIVER WITH STROBED LATCH FUNCTION 4 SEGMENT LIQUID CRYSTAL DISPLAY DRIVER WITH STROBED LATCH FUNCTION QUIESCENT CURRENT SPECIF. UP TO 20V OPERATION OF LIQUID CRYSTALS WITH CMOS CIRCUITS PROVIDES ULTRA LOW POWER DISPLAYS EQUIVALENT AC OUTPUT

More information

EMIF QCF 4 LINE LOW CAPACITANCE EMI FILTER AND ESD PROTECTION IPAD

EMIF QCF 4 LINE LOW CAPACITANCE EMI FILTER AND ESD PROTECTION IPAD IPAD EMIF04-1502QCF 4 LINE LOW CAPACITANCE EMI FILTER AND ESD PROTECTION MAIN PRODUCT CHARACTERISTICS: Where EMI filtering in ESD sensitive equipment is required : LCD and camera for Mobile phones Computers

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7.

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7. Industrial Inline Control for Advanced Vacuum Roll to Roll Systems Gerhard Steiniger Web inspection - surface Quallity control 7.4-7684 1 Industrial Inline Control for Advanced Vacuum Roll to Roll Systems

More information

DIRECT DRIVE ROTARY TABLES SRT SERIES

DIRECT DRIVE ROTARY TABLES SRT SERIES DIRECT DRIVE ROTARY TABLES SRT SERIES Key features: Direct drive Large center aperture Brushless motor design Precision bearing system Integrated position feedback Built-in thermal sensors ServoRing rotary

More information

Multilevel Beam SOI-MEMS for Optical Applications

Multilevel Beam SOI-MEMS for Optical Applications pp. 281-285 Multilevel Beam SOI-MEMS for Optical Applications Veljko Milanović Adriatic Research Institute 2131 University Ave., Suite 322, Berkeley, CA 94704 veljko@adriaticresearch.org Abstract A microfabrication

More information

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Smaller is better. Probably not a phrase you'll hear often in everyday conversation, but one that curiously rings true

More information

Forward-Looking Statements

Forward-Looking Statements Forward-Looking Statements Information in this presentation regarding MagnaChip s forecasts, business outlook, expectations and beliefs are forward-looking statements within the meaning of the Private

More information

X-ray Inspection. Series.

X-ray Inspection. Series. X-ray Inspection Series www.nordsondage.com 2 Nordson DAGE Quadra X-ray Inspection 3 Nordson DAGE Quadra X-ray Inspection Nordson DAGE Quadra X-ray Inspection 3 Your X-ray Inspection Partner Seeing is

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 23.08.2018 I DAVID ARUTINOV CONTENT INTRODUCTION TRENDS AND ISSUES OF MODERN IC s 3D INTEGRATION TECHNOLOGY CURRENT STATE OF 3D INTEGRATION SUMMARY

More information

Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher

Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher Pete I. Klimecky, J. W. Grizzle, and Fred L. Terry, Jr. Department

More information

High Repetition Rate USP Lasers Improve OLED Cutting Results

High Repetition Rate USP Lasers Improve OLED Cutting Results Coherent White Paper May 7, 2018 High Repetition Rate USP Lasers Improve OLED Cutting Results High power ultraviolet, picosecond industrial lasers are widely employed because of their proven ability to

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual LAM490 AutoEtch System Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

PRODUCT/PROCESS CHANGE NOTIFICATION

PRODUCT/PROCESS CHANGE NOTIFICATION PRODUCT/PROCESS CHANGE NOTIFICATION PCN MMS-MMY/07/3303 Notification Date 12/26/2007 M24256, 256Kbit Serial I2C Bus EEPROM Upgrade and Die Optimization MMY - MEMORY 1/12 PCN MMS-MMY/07/3303 - Notification

More information

In the September/October issue of Small Times

In the September/October issue of Small Times Thinking outside the chip: MEMS-based systems solutions by Roger H. Grace, Roger Grace Associates In the September/October issue of Small Times (p.32) I introduced a MEMS Commercialization Report Card

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) IPAD TM 3 LINES EMI FILTER AND ESD PROTECTION MAIN PRODUCT APPLICATIONS EMI filtering and ESD protection for : SIM Interface (Subscriber Identify Module) UIM Interface (Universal Identify Module) DESCRIPTION

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

TECHNOLOGY ROADMAP MICRO-ELECTRO-MECHANICAL SYSTEMS (MEMS) 2011 EDITION FOR

TECHNOLOGY ROADMAP MICRO-ELECTRO-MECHANICAL SYSTEMS (MEMS) 2011 EDITION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION MICRO-ELECTRO-MECHANICAL SYSTEMS (MEMS) THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

THE challenges facing today s mobile

THE challenges facing today s mobile MEMS displays MEMS-Based Display Technology Drives Next-Generation FPDs for Mobile Applications Today, manufacturers of mobile electronic devices are faced with a number of competitive challenges. To remain

More information

PRODUCT/PROCESS CHANGE NOTIFICATION

PRODUCT/PROCESS CHANGE NOTIFICATION PRODUCT/PROCESS CHANGE NOTIFICATION PCN MMS-SNV/07/2327 Notification Date 02/22/2007 STMicroelectronics AMK (Singapore) new and additional Wafer diffusion plant for the 64Kbit I2C Bus Based Serial EEPROM

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Nouë Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr November 2012 - Version 1 Written by: Romain FRAUX DISCLAIMER :

More information

DLP Discovery Reliability Application Note

DLP Discovery Reliability Application Note Data Sheet TI DN 2510330 Rev A March 2009 DLP Discovery Reliability Application Note May not be reproduced without permission from Texas Instruments Incorporated IMPORTANT NOTICE BEFORE USING TECHNICAL

More information