DESIGN FOR TESTABILITY

Size: px
Start display at page:

Download "DESIGN FOR TESTABILITY"

Transcription

1 DESIGN FOR TESTABILITY Raimund Ubar

2 Design for Testability Lectures Testability of Digital Systems Design for Testability Methods BIST/BISD Practical Works Two laboratory works Course work Exam

3 Literature L.-T.Wang, C.-W.Wu, X.Wen. VLSI Test Principles and Architectures. Elsevier, 2006, 777 p. O.Novak, E.Gramatova, R.Ubar. Handbook of Testing Electronic Systems. Czech TU Publishing House, 2005, 395 p. A.Miczo. Digital Logic Testing and Simulation. Wiley-Interscience, New Yersey, 2003, 668 p. N.Jha, S.Gupta. Testing of Digital Systems. Cambridge Univ. Press, 2003, 1000 p. R.Ubar, J.Raik, Th.Vierhaus. IGI Global, Hershey New York, 2011, 550 p.

4 Literature Other: H.-J.Wunderlich, Ed. Models in Hardware Testing. Springer, M.Gössel, E.Sogomonjan et. al. New Methods of Concurrent Checking. Springer, D.Gizopulos. Advances in Electronic Testing, Technology & Engineering. Springer, D.Gizopulos, A.Paschalis, Y.Zorian. Embedded Processor-Based Self-Test. Kluwer Acad. Publishers, 2004.

5 Raimund Ubar Integreeritud elektroonikasüsteemide ja biomeditsiinitehnika tippkeskus Why the topic of DFT is important? We depend too much on computers and on the technical systems controlled by computers Tiina Ubar 5

6 Raimund Ubar Integreeritud elektroonikasüsteemide ja biomeditsiinitehnika tippkeskus Computers and Embedded Systems Universal computers 2% Embedded systems 98% 98 % Microprocessor market shares We notice our dependency on electronics only when it suddenly gives up to work 6

7 Raimund Ubar Integreeritud elektroonikasüsteemide ja biomeditsiinitehnika tippkeskus Why the topic of DFT is important? To understand the difference between design and design for test Design is the field of direct problems Design for Test - is the field of reverse problems The central question of the diagnosis and DFT is WHY? This is the general question of every creative engineer at all How? is technical question and problem 7

8 Goals of the DFT Course To give the basic knowledge: How to improve test quality at increasing complexities of systems? This knowledges includes understanding of how the physical defects can influence on the behavior of systems, and what is diagnostic modelling learning fault simulation, test generation and fault diagnosis understanding the meaning of testability learning the basic methods of making systems self-testable The goal is also to give some hands-on experience of solving test related problems 8

9 Raimund Ubar Research in ATI Practical Importance of testability? To improve the manufacturing processes and to increase the yield To design reliable systems out of not reliable components which leads to the need of fault-tolerance Field diagnosis as the traditional task The Rule of Ten is the Sword of Damokles The increasing complexity of VLSI circuits has made test and diagnosis the most complicated problems in digital design Automated diagnosis is needed 9

10 Raimund Ubar Research in ATI Position of the DFT Field Dependability Reliability Security Safety There is no sequrity on the earth, there is only oportunity Douglas McArthur (General) Design for testability: Test Diagnosis Test Fault Diagnosis BIST/BISD Built-In Self-Test/Diagnosis Fault-Tolerance Dependability Self-Repair

11 Raimund Ubar Integreeritud elektroonikasüsteemide ja biomeditsiinitehnika tippkeskus Terminology: Verification, Validation, Testing Validation VLSI Design Flow Specification Hardware description languages (VHDL) Implementation VLSI Design, System on Chip Manufacturing CMOS Verification Testing Verification is to check the consistence between the individual development phases Validation is checking the system whether it conforms to the user requirements

12 Introduction: Testing World Test experiment (BIST) System Test result Fault simulation Fault diagnosis Test System model Fault dictionary Test generation Go/No go Located defect Test tools

13 Raimund Ubar What is a test? Testprogram =? How many test patterns are needed to test an adder? Processor Diagnosis 22 Test results 13

14 Raimund Ubar Testing of adder? 32 bit adder has 64 inputs The number of all possibile test patterns is 2 64 = GHz processor will need 2 64 = sec or 584 years Adder Mikroprocessor in the factory is tested only with 10 sec How about the quality of test in this case? 14

15 ... Raimund Ubar Functional view on fault coverage Why we need 2 64 patterns Fault coverage 100% Number of patterns Test quality: First pattern 100% 0% 93,75% Second pattern 87,5% 75% 50% 15

16 Raimund Ubar Structural view on fault coverage Testing of structural faults: 1 2 n Combinational circuit under test Not yet tested faults 2. pattern 4. pat. Fault coverage Fault Faults covered by 1. pattern 3. pat. 100% Number of patterns 4 16

17 Raimund Ubar Comparison of Two Approaches for Testing Testing of functions: 100% 0% 93,75% 4. pat. 87,5% Testing of faults: Not tested faults 3. patttern 4. pat. 2. pattern 100% will be reached only after 2 n test patterns Faulty functions covered by 1. pattern 50% 3. pattern Faulty functions covered by 2. pattern 75% Testing of faults 100% Testing of functions Faults covered by 1. pattern 100% will be reached when all faults from the fault list are covered 17

18 Raimund Ubar Why the topic of DFT is important? The main property of today s systems is COMPLEXITY To manage the complexity we have to know methods like: - abstraction - modeling - simulation - hierarchical divide and conquer

19 Raimund Ubar Fault Model Based Test Generation: Problems Test signals Testing of complex system: Component under test Example: 32-bit adder x 1 y Observable point Functional test: Number of test patterns N = 2 64 = x n Activated blocks (sub-systems) Additionally activated blocks may disturb the test process Structural test: Alltogether about 2000 faults Number of test patterns: N << 2000 <<

20 Hierarchy: Divide and Conquer Engineer vs. computer: To generate a test for a component in a system, the computer needed 2 days and 2 nights Sea of gates The best place to start is with a good title. Then build a song around it. (Wisdom of country music) An engineer did it by hand with 15 minutes So, why computers? Sequence of 2 16 bits 16 bit counter 1 & System Design for Testability

21 Raimund Ubar Test generation process for detecting a fault: Why Design for Testability? Defect? Know-how Expert systems were used in Europe but not in US Expert system is needed to help the test programmer 21

22 Raimund Ubar Test generation process for detecting a fault: Why Design for Testability? Defekt Gordion Knot? Hard-to-testpart New paradigm ScanPath Design mindmappingsoftwareblog.com

23 Making Systems Transparent IN Combinational circuit OUT q R q theisleofwightcomputergeek.co.uk IN Combinational circuit OUT Scan-Path design strategy Scan-IN q R q Scan-OUT 23

24 Boundary Scan Standard

25 Design for Testability To ways for improving testability with inserting of control points: Improving controllability System under test Improving observability Control points 25

26 Introduction: Ad Hoc Design for Testability Method of Test Points: Block 1 Block 2 Improving controllability and observability: Block 1 Block 2 1 OP CP OP Block 1 Block 2 CP & Block 1 is not observable, Block 2 is not controllable 1- controllability: CP = 0 - normal working mode CP = 1 - controlling Block 2 with signal 1 0- controllability: CP = 1 - normal working mode CP = 0 - controlling Block 2 with signal 0

27 Amusing testability: Introduction: Tradeoffs Theorem: You can test an arbitrary digital system by only 3 test patterns if you design it approprietly Proof: & & ? & & & General Solution? System Scan-Path FSM CC NAND

28 Introduction: Built-in Self-Test Cores have to be tested on chip Source: Elcoteq Source: Intel Copyright 2010 Raimund Ubar 28

29 Introduction: Self-Test in Digital Systems Source SoC SoC Test Access Mechanism SRAM CPU MPEG Peripheral Component Interconnect Wrapper Core Under Test UDL SRAM ROM DRAM Test Access Mechanism Sink Test architecture components: Test pattern source & sink Test Access Mechanism Core test wrapper Solutions: Off-chip solution need for external ATE Combined solution mostly on-chip, ATE needed for control On-chip solution BIST

30 Introduction: What is BIST On circuit Test pattern generation Response verification Random pattern generation, very long tests Response compression IC BIST Control Unit Test Pattern Generation (TPG) Circuitry Under Test CUT Test Response Analysis (TRA)

31 Introduction: SoC BIST Optimization: Embedded Tester Test Controller Core 1 BIST Test access mechanism - testing time - memory Core 2 cost - power consumption - hardware BIST cost - test quality Tester Memory BIST BIST BIST Core 3 Core 4 Core 5 System on Chip

32 Introduction: BIST Embedding Example LFSR1 LFSR2 M1 M2 CSTP M4 MUX M5 M3 BILBO Concurrent testing: MISR1 LFSR, CSTP M2 MISR1 M2 M5 MISR2 (Functional BIST) CSTP M3 CSTP LFSR2 M4 BILBO MUX MISR2 M6

33 Course Work. Investigations of BIST Design of a circuit Evaluation of the testability of the circuit Redesign for testability Control points selection, optimization Scan path, optimization Built-in self-test. Design of solutions Experimental research

34 Course Work. Introduction In-circuit Test pattern generation Response verification Pseudorandom test generation, very long tests Hybrid test solutions Response compression IC BIST Control Unit Test Pattern Generation (TPG) Circuitry Under Test CUT Test Response Analysis (TRA)

35 Course Work. Description of the Circuit Test Generator - LFSR 4 A B C x z k 1, k 2 1. Design of a combinational circuit for the following functionality If x = 0, z = 0, then Y = k 1 A + k 2 B, else k 3, k 1 k 1, k 2, k 3 k 4, k 5, MUX if x = 0, z = 1, then Y = k 3 A - k 1 C, else if x = 1, z = 0, then Y = (k 1 A k 1 B k 2 C) (k 3 C NOT (k 3 A) k 1 B), k 6 4 Y else if x = 1, z = 1, then Y = k 4 A 2 + k 5 A + k 6 Signature Analyzer Interface Coefficients k i can be found on the next slide

36 Coefficients for the Course Work Versions Vers. No. k 1 k 2 k 3 k 4 k 5 k 6 Vers no. k 1 k 2 k 3 k 4 k 5 k ,1 0,2 0, ,5 0,1 0, ,1 0,2 1, ,5 0,1 1, ,1 0,2 2, ,5 0,4 2, ,1 0,2 3, ,5 0,4 3, ,1 1,0 0, ,5 0,8 0, ,1 1,0 1, ,5 0,8 1, ,1 2,0 2, ,5 1,5 2,0

37 Course Work. Design of Interface Versions 4 Test Generator - LFSR A B C x z 2. Use three different interface versions for experiments: 1 bit, 2-bit and 4- or more bit interfaces for respective n-bit Signature Analyzers k 1, k 2 k 3, k 1 k 1, k 2, k 3 MUX The types of interface: Y 2 Y 2 4 Y k 4, k 5, k 6 1) 2) 3) n bit SA 4 Y Interface 1 bit SA 2 bit SA Signature Analyzer

38 Course Work. Design of a Testable Circuit 3. Enter the designed gate-level (AND, OR, NOT) combinational circuit into the computer, using CADENCE circuit editor 4. Generate test patterns with Turbo-Tester (TT) ATPG. If the fault coverage is 100%, remove one or more patterns from the test set, so that at least two faults remain undetected. 5. Improve the testability of the circuit to reach again 100% fault coverage with the updated test set Block 1 Block 2 CP 1 OP 1- controllability: CP = 0 - normal working mode CP = 1 - controlling Block 2 with signal 1

39 Course Work. Observability Investigation 6. Analyze two different testability improvement solutions: - Separate pins for all observability points - Single joint pin for all observability points Draw the graphics for both cases for the function P = f(t) where P is fault coverage, and T is test length

40 Course Work. Design of a Test Generator BILBO - Built- In Logic Block Observer: LFSR - Test Pattern Generator Combinational circuit 7. Generate test patterns by the BILBO tool for 10 different polynomials, and find the best structure for the LFSR Report for all 10 experiments the maximum achievable fault coverage, and fix the minimum test length needed for that Calculate the increase of the circuit size (in number of 2-input gates) due to adding of the self-test circuitry LFSR - Signature analyzer

41 Course Work. Design of a Test Generator CSTP - Circular Self-Test Path: LFSR - Test Pattern Generator & Signature analyser 8. Repeat the previous task for the case of using CSTP ("Circular Self Test Path") for self-test purposes Combinational circuit

42 Course Work. Design of a Signature Analyzer Test Generator - LFSR 4 A B C x z 1) 4 Y 9. Carry out experiments with the best test set found in task 7 for 4 different Signature Analyzers: 1-bit, 2-bit, 4- bit, and 8-bit k 1, k 2 1 bit SA Calculate the fault coverages k 3, k 1 k 1, k 2, k 3 k 4, k 5, MUX 2) 2 Y 2 Draw the graphic P = f(sa), where P is the fault coverage and SA is the number of bits in the Signature Analyzer k 6 4 Y Interface 3) 2 bit SA 4 Y n bit SA Draw 4 graphics P = f(t), for 4 SA cases, where T is the test length 5, 10, 15, 20 etc. up to P = 100% Explain the graphics Signature Analyzer

43 Course Work. Store-and-Generate BIST Fault Coverage The main motivations of using random patterns are: - low generation cost - high initial efeciency Problem: low fault coverage Long PR test: Hard to test faults Using many seeds: Pseudorandom test: 0 2 n -1 Pseudorandom test: 0 2 n -1 Time

44 Course Work. Store-and-Generate BIST 10. Synthesize an optimal BIST, using "store & generate architecture. Chose for that the best BILBO structure and ja the 100% test with length N. Minimize the number of seeds to be stored in the memory ROM TPG UUT ADR RD Counter 2 Counter 1 CL 11. Compare the results in tasks 4, 5, 7, 8 and 10. Which solution is the best and why? Draw the block-level final structure of the selected best BIST solution. 12. Present a report of the course work.

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

E-Learning Tools for Teaching Self-Test of Digital Electronics

E-Learning Tools for Teaching Self-Test of Digital Electronics E-Learning Tools for Teaching Self-Test of Digital Electronics A. Jutman 1, E. Gramatova 2, T. Pikula 2, R. Ubar 1 1 Tallinn University of Technology, Raja 15, 12618 Tallinn, Estonia 2 Institute of Informatics,

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References EE241 - Spring 2001 Advanced Digital Integrated Circuits Lecture 28 References Rabaey, Digital Integrated Circuits and EE241 (1998) notes Chapter 25, ing of High-Performance Processors by D.K. Bhavsar

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Test Set L m CUT k LFSR There are several possibilities: Multiplex the k outputs of the CUT. M 1 P(X)=X 4 +X+1

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Testing and Design for Testability Instructor: Maria K. Michael MKM - 1 Overview VLSI realization process Role of testing, related cost Basic Digital VLSI

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Based on slides/material by. Topic Testing. Logic Verification. Testing

Based on slides/material by. Topic Testing. Logic Verification. Testing Based on slides/material by Topic 4 K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html igital Integrated Circuits: A esign Perspective, Prentice

More information

ISSN (c) MIT Publications

ISSN (c) MIT Publications MIT International Journal of Electronics and Communication Engineering, Vol. 2, No. 2, Aug. 2012, pp. (83-88) 83 BIST- Built in Self Test A Testing Technique Alpana Singh MIT, Moradabad, UP, INDIA Email:

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips Pushpraj Singh Tanwar, Priyanka Shrivastava Assistant professor, Dept. of

More information

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE Mohammed Gazi.J 1, Abdul Mubeen Mohammed 2 1 M.Tech. 2 BE, MS(IT), AMISTE ABSTRACT In the design of a SOC system, random

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Mohammed Yasir, Shameer.S (M.Tech in Applied Electronics,MG University College Of Engineering,Muttom,Kerala,India) (M.Tech in Applied

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 7: Built-in Self Test (III) Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture 7 BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 7 2 Lecture

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS Fazal Noorbasha, K. Harikishore, Ch. Hemanth, A. Sivasairam, V. Vijaya Raju Department of ECE, KL University, Vaddeswaram, Guntur

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR Volume 01, No. 01 www.semargroups.org Jul-Dec 2012, P.P. 67-74 Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR S.SRAVANTHI 1, C. HEMASUNDARA RAO 2 1 M.Tech Student of CMRIT,

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

New Directions in Manufacturing Test

New Directions in Manufacturing Test New Directions in Manufacturing Test Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin Shanghai Jiao Tong University July 19, 2005 July 19, 2005 1 Research Areas Manufacturing

More information

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator A Novel Method for UVM & BIST Using Low Power Test Pattern Generator Boggarapu Kantha Rao 1 ; Ch.swathi 2 & Dr. Murali Malijeddi 3 1 HOD &Assoc Prof, Medha Institute of Science and Technology for Women

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits Southern Methodist University SMU Scholar Computer Science and Engineering Theses and Dissertations Computer Science and Engineering Spring 5-19-2018 Cell-Aware Fault Analysis and Test Set Optimization

More information

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability 1 國立清華大學電機系 EE-6250 超大型積體電路測試 VLSI Testing Chapter 7 Built-In Self-Test esign-for-testability esign activities for generating a set of test patterns with a high fault coverage. Methodology Logic Automatic

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

Slide Set 14. Design for Testability

Slide Set 14. Design for Testability Slide Set 14 Design for Testability Steve Wilton Dept. of ECE University of British Columbia stevew@ece.ubc.ca Slide Set 14, Page 1 Overview Wolf 4.8, 5.6, 5.7, 8.7 Up to this point in the class, we have

More information

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1 Chapter 5 Logic Built-In Self-Test VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1 1 What is this chapter about? Introduce the basic concepts of logic BIST BIST Design Rules Test

More information

Evaluating BIST Architectures for Low Power

Evaluating BIST Architectures for Low Power Evaluating BIST Architectures for Low Power C.P. Ravikumar Department of Electrical Engineering Indian Institute of Technology New Delhi 110016 rkumar@ee.iitd.ernet.in N. Satya Prasad * Cadence India NEPZ,

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Design of an Infrastructural IP Dependability Manager for a Dependable Reconfigurable Many-Core Processor

Design of an Infrastructural IP Dependability Manager for a Dependable Reconfigurable Many-Core Processor Design of an Infrastructural IP Dependability Manager for a Dependable Reconfigurable Many-Core Processor Hans G. Kerkhoff and Xiao Zhang Testable Design and Test of Integrated Systems (TDT) Group Centre

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction IJCSN International Journal of Computer Science and Network, Vol 2, Issue 1, 2013 97 Comparative Analysis of Stein s and Euclid s Algorithm with BIST for GCD Computations 1 Sachin D.Kohale, 2 Ratnaprabha

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER

DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER P. BHASKAR REDDY (M.TECH) SANTHIRAM ENGINEERING COLLEGE, NANDYALA B. ADI NARAYANA M.TECH (ASSOCIATE PROFESSOR, DEPT OF

More information

Testing of UART Protocol using BIST

Testing of UART Protocol using BIST Testing of UART Protocol using BIST Abstract: Testing of VLSI chips is changing into significantly complicated day by day as a result of increasing exponential advancement of NANO technology. BIST may

More information

A Microcode-based Memory BIST Implementing Modified March Algorithm

A Microcode-based Memory BIST Implementing Modified March Algorithm A Microcode-based Memory BIST Implementing Modified March Algorithm Dongkyu Youn, Taehyung Kim and Sungju Park Dept. of Computer Science & Engineering Hanyang University SaDong, Ansan, Kyunggi-Do, 425-791

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

Efficient Test Pattern Generation Scheme with modified seed circuit.

Efficient Test Pattern Generation Scheme with modified seed circuit. Efficient Test Pattern Generation Scheme with modified seed circuit. PAYEL MUKHERJEE, Mrs. N.SARASWATHI Abstract This paper proposes a modified test pattern generator which produces single bit change vectors

More information

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 6 (2017), pp. 1493-1498 Research India Publications http://www.ripublication.com March Test Compression Technique

More information

Implementation of UART with BIST Technique

Implementation of UART with BIST Technique Implementation of UART with BIST Technique Mr.S.N.Shettennavar 1, Mr.B.N.Sachidanand 2, Mr.D.K.Gupta 3, Mr.V.M.Metigoudar 4 1, 2, 3,4Assistant Professor, Dept. of Electronics Engineering, DKTE s Textile

More information

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University Outline State of the Art for

More information

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper. Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR) Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR) Nelli Shireesha 1, Katakam Divya 2 1 MTech Student, Dept of ECE, SR Engineering College, Warangal,

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 24 Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 1. A.V.PRABU 2.T.APPA RAO 3. TUSHAR KANT PANDA 4.PADMINI MISHRA 5. L.SIVA PRASAD 6.R.DHAMODHARAN ABSTRACT:

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time by Farhana Rashid A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Journal of ELECTRICAL ENGINEERING, VOL. 58, NO. 3, 2007, 121 127 DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Gregor Papa Tomasz Garbolino Franc Novak Andrzej H lawiczka

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information