UNIT-3: SEQUENTIAL LOGIC CIRCUITS

Size: px
Start display at page:

Download "UNIT-3: SEQUENTIAL LOGIC CIRCUITS"

Transcription

1 UNIT-3: SEQUENTIAL LOGIC CIRCUITS STRUCTURE 3. Objectives 3. Introduction 3.2 Sequential Logic Circuits 3.2. NAND Latch RS Flip-Flop D Flip-Flop JK Flip-Flop Edge Triggered RS Flip-Flop Preset And Clear Inputs Shift Register Check Your Progress 3.3 Binary Counter 3.3. Asynchronous Counter BDC Counter Synchronous Counter Check Your Progress Summary 3.5 Glossary 3.6 References 3.7 Answers to Check Your Progress Questions

2 3. OBJECTIVES After going through this unit, you will be able to use logic gates to construct basic latches recognize the difference between a latch and a flip-flop explain how R-S and J-K flip-flops differ apply flip-flops in basic applications use flip-flops to construct a register explain the functions of an universal register describe the difference between an asynchronous and a synchronous counter analyze the counter timing diagrams determine the modulus of a counter modify the modulus of a counter 3. INTRODUCTION Digital circuits are divided into two categories - combinational and sequential. In combinational circuits the output at any instance of time depend upon the inputs present at that instance of time i.e., there is no memory in these circuits. In sequential circuits, the output at any instant of time depend upon the present inputs as well as past inputs and outputs i.e., these are elements used to store past information. These elements are known as memory. 3.2 SEQUENTIAL CIRCUITS There are two types of sequential circuits namely synchronous and asynchronous. A synchronous sequential circuit is a system where behavior can be defined from the knowledge of its signals at discrete instants of time. The behavior of an asynchronous sequential circuit depends upon the order in which its input signals change and can be affected at any instant of time. A flip-flop is a binary cell capable of storing one bit of information i.e., or. It has two outputs, one for normal value and other for the complement value of the stored bit. A flip-flop remains in a binary state ( or ) as long as it is not disturbed by any external sources NAND latch NAND latch (Figure 3.) is the fundamental building block in constructing a flip-flop. It has the property of holding on to any previous output, as long as it is not disturbed.

3 Figure 3. NAND latch The latch has two outputs Q and Q. When the circuit is switched on the latch may enter into any state. If Q=, then Q =, which is called SET state. If Q=, then Q =, which is called RESET state. Whether the latch is in SET state or RESET state, it will continue to remain in the same state, as long as the power is not switched off. But the latch is not an useful circuit, since there is no way of entering the desired input. It is the fundamental building block in constructing flip-flops, as explained in the following sections R-S flip-flop: The basic flip-flop is a one bit memory cell that gives the fundamental idea of memory device. It constructed using two NAND gates. The two NAND gates N and N2 are connected such that, output of N is connected to input of N2 and output of N2 to input of N. These form the feedback path the inputs are S and R, and outputs are Q and Q. The logic diagram and the block diagram of R-S flip-fop with clocked input is shown in Figure 3.2. a) Logic diagram

4 b) Block diagram Figure 3.2 R-S flip-flop The flip-flop can be made to respond only during the occurrence of clock pulse by adding two NAND gates to the input latch. So synchronization is achieved. i.e., flip-flops are allowed to change their states only at particular instant of time. The clock pulses are generated by a clock pulse generator. The flip-flops are affected only with the arrival of clock pulse. Operation:. When CP= the output of N3 and N4 are regardless of the value of S and R. This is given as input to N and N2. This makes the previous value of Q and Q unchanged. 2. When CP= the information at S and R inputs are allowed to reach the latch and change of state in flip-flop takes place. 3. CP=, S=, R= gives the SET state i.e., Q=, Q =. 4. CP=, S=, R= gives the RESET state i.e., Q=, Q =. 5. CP=, S=, R= does not affect the state of flip-flop. 6. CP=, S=, R= is not allowed, because it is not able to determine the next state. This condition is said to be a race condition. In the logic symbol CP input is marked with a triangle. It indicates the circuit responds to an input change from to. The characteristic table gives the operation conditions of flip-flop. Q (t) is the present state maintained in the flip-flop at time t. Q (t+) is the state after the occurrence of clock pulse. Truth table S R Q (t+) Comments Q t * No change Reset / clear Set Not allowed

5 3.2.3 D flip-flop: The D flip-flop is the modified form of R-S flip-flop. R-S flip-flop is converted to D flipflop by adding an inverter between S and R and only one input D is taken instead of S and R. So one input is D and complement of D is given as another input. The logic diagram and the block diagram of D flip-fop with clocked input are shown in Figure 3.2. a) Logic diagram b) Block diagram Figure 3.2 D flip-flop When the clock is low both the NAND gates (N and N2) are disabled and Q retains its last value. When clock is high both the gates are enabled and the input value at D is transferred to its output Q. D flip-flop is also called Data flip-flop. Truth table CP D Q x Previous state

6 3.2.4 J-K flip-flop The race condition in RS flip-flop, when R=S= is eliminated in J-K flip-flop. There is a feedback from the output to the inputs. Figure 3.4 represents one way of building a JK flip-flop. a) Logic diagram Truth table b) Block diagram Figure 3.4 J-K flip-flop J K Q (t+) Comments Q t Q t No change Reset / clear Set Complement/ toggle. The J and K are called control inputs, because they determine what the flip-flop does when a positive clock edge arrives.

7 Operation:. When J=, K= then both N3 and N4 will produce high output and the previous value of Q and Q retained as it is. 2. When J=, K=, N3 will get an output as and output of N4 depends on the value of Q. The final output is Q=, Q = i.e., reset state 3. When J=, K= the output of N 4 is and N 3 depends on the value of Q. The final output is Q= and Q = i.e., set state 4. When J=, K= it is possible to set (or) reset the flip-flop depending on the current state of output. If Q=, Q = then N4 passes to N2 which produces Q =, Q= which is reset state. When J=, K=, Q changes to the complement of the last state. The flip-flop is said to be in the toggle state Edge triggered RS flip-flop: Some flip-flops have an RC circuit at the input next to the clock pulse. By the design of the circuit the R-C time constant is much smaller than the width of the clock pulse. So the output changes will occur only at specific level of clock pulse. The capacitor gets fully charged when clock pulse goes from low to high. This change produces a narrow positive spike. Later at the trailing edge it produces narrow negative spike. This operation is called edge triggering, as the flip-flop responds only at the changing state of clock pulse. If output transition occurs at rising edge of clock pulse ( ), it is called positively edge triggering. If it occurs at trailing edge ( ) it is called negative edge triggering. Figure 3.5 shows the logic and block diagram. a) Logic diagram of edge triggered RS flip-flop

8 b) Block diagram of positive edge triggered flip-flop c) Block diagram of negative edge triggered flip-flop Figure 3.5 Edge triggered RS flip-flop PRESET and CLEAR inputs Flip-flops available in IC packages will sometime provide a special input terminal for setting or clearing the flip-flop whenever needed. This is useful for bringing the flip-flop to the initial state before starting the operation. For this we add two AND gates to the latch with PRESET and CLEAR inputs. When PRESET= then whatever may be the other input the flip-flop is set (i.e.) Q=. When CLEAR= the output Q= (i.e.) clear state. The flip-flop will return to the active state only when PRESET= and CLEAR=. Figure 3.6 shows the logic and block diagram of flip-flop with PRESET and CLEAR inputs a) Logic diagram

9 b) Block diagram Figure 3.6 D flip-flop with PRESET and CLEAR Truth table PRESET CLEAR CP D Q X X X X X X X X X *(forbidden) NC NC NC Propagation delay time (t p ) It is the time taken by the output of a gate or flip-flop to change its status. Setup time (t setup ): It refers to the minimum amount of time that the data bit must be present before the clock edge strikes. Hold time(t hold ): It is the minimum amount of time the data bit must be present after the clock edge has struck.

10 3.2.7 Shift Register A flip-flop can store -bit of digital information. It is also referred to as a -bit register. A register contains a group of flip-flops, the number of flip-flops in a register being equal to the number of bits present in the data. Flip-flops are connected in such a way that binary number can be entered into the register and retrieved from the same. A register capable of shifting its binary information either to the right or to the left is called a Shift register. Shift registers are classified into the following types depending on the way in which the data is entered and retrieved..serial-in Serial-out(SISO) 2.Serial-in Parallel-out(SIPO) 3.Parallel-in Serial-out(PISO) 4.Parallel-in Parallel-out(PIPO) Serial-in Serial-out (SISO) Consider a shift register with two flip-flops as shown in the Figure 3.7, Figure 3.7 SISO Shift Register To set an in a flip-flop A, hold the J input high and K input low and allow the clock to progress through one cycle. To set in flip-flop A hold the J input low and K input high and allow the clock to progress through one cycle. Anytime a exists in flipflop A will be shifted to B during the next cycle of the clock. Similarly anytime a in flip-flop A will be shifted to B during next clock cycle. Suppose if it is required to shift the binary number into the register it will be done in the following manner. First of all, the three flip-flops are cleared. Then for flip-flop A set the inputs as J= and K=. Thus (LSB) will be shifted into A during first clock pulse. In the mean time B and C will remain in the reset state.(i.e.) ABC= During the occurrence of the second pulse, make J= and K=. This resets flip-flop A. The in A will be shifted to B and C is still in the reset state (i.e.) ABC=.

11 During the occurrence of third pulse make J= & k= for flip-flop A, so that will be entered into A (MSB) and in A will be shifted to B and in B will be shifted to C. i.e. ABC =. This can be summarized in the truth table as follows. Truth table Its corresponding waveforms and logic diagram are shown in Fig.3.8 a) Wave forms b)logic diagram Figure 3.8 SISO Shift Register In this way data can be entered serially into the register. To retrieve the data the following procedure is adopted. Now LSB() of the data is available at C. By the application of the next clock pulse the 2nd bit B will come to C. Similarly by applying

12 one more pulse the MSB will be available at C. Thus by applying two more pulses the data will be retrieved bit by bit. Serial in Parallel out (SIPO) In a SIPO shift register the data will be entered in the same way as in SISO. The output is taken directly from the flip flops in parallel as shown in the Figure 3.9. At the end of the third pulse the data will be available at ABC = D2 D D Figure 3.9 SIPO Shift Register Parallel in Serial out (PISO) In a PISO shift register loading of data is done with the help of PRESET terminals as shown in the Figure 3.. When Load= data input operation is disabled. Figure 3. PISO Shift Register When Load =, the data inputs are entered through the NAND gates into the PRESET terminals of the flip-flops. Load line is enabled for parallel loading. It is always high so that the flip-flop will be either set or reset. Thus without any clock pulse all the bits are

13 loaded simultaneously into the register. For retrieving, the output is obtained at S OUT by the application of clock pulses. Parallel-in Parallel-out(PIPO) Figure 3. PIPO Shift Register Figure 3. shows the PIPO Shift Register. In this shift register loading is done as in PISO. For retrieving the data the output of A, B and C are taken as D2, Dand D respectively. Using the PIPO Shift Register it is possible to handle the data in any of the four ways discussed above. Hence it is also called an Universal Shift Register. CHECK YOUR PROGRESS. The invalid state of an S-R latch occurs when (a) S =, R = (b) S =, R = (c) S =, R = (d) S =, R = 2. The purpose of the clock input to a flip-flop is to (a) clear the device (b) set the device (c) always cause the output to change states (d) cause the output to assume a state dependent on the controlling inputs 3. For an edge-triggered D flip-flop, (a) a change in the state of an flip-flop occurs only at a clock pulse edge (b) the state that the flip-flop goes to depends on the D inputs

14 (c) the output follows the input at each clock pulse (d) all the above 4. A flip-flop is in the toggle condition when (a) J =, K = (b) J =, K = (c) J =, K = (d) J =, K = 5. A stage in a shift register consists of (a) a flip-flop (b) a word of storage (c) a byte of storage (d) four bits of storage 6. To serially shift a byte of data into a shift register, there must be (a) One clock pulse (b) one load pulse (c) eight clock pulses (d)one clock pulse for each in the data 7. To parallel load a byte of data into shift register with a synchronous load, there must be (a) one clock pulse (b) one clock pulse for each in the data (c) eight clock pulse (d) one clock pulse for each in the data 8. The group of bits is serially shifted into an 8-bit parallel output shift register with an initial state of. After two clock pulses, the register contains (a) (b) (c) (d) 3.3 BINARY COUNTER A counter is a register capable of counting number of clock pulses that have arrived at its clock input. They are used for counting number of occurrences of an event and are also useful for generating timing signals to control the sequence of operations in a computer. A counter that follows the binary number sequence is called a binary counter. They are two types of counters. i) Serial or Asynchronous Counter. ii) Parallel or Synchronous Counter. i) Serial or Asynchronous Counter: In an asynchronous counter the output change in one flip-flop is given as clock input to the next flip-flop. The clock input to one flip-flop is different from another. It requires minimum hardware but is very slow in operation. ii) Parallel or Synchronous Counter:

15 In a synchronous counter all the flip-flops receive the same clock pulse, so that they change their states at the same time. The hardware is increased, but it is faster than an asynchronous counter. 3.3.Asynchronous Counter (Ripple Counter) Flip-flops can be connected to get an binary counter, which counts the number of input triggers (clock pulses). Figure 3.2 shows such a counter with three flipflops. Figure 3.2 Asynchronous Counter The clock pulse drives A. The output of A drives B and the output of B drives C. All the J&K inputs are tied to +Vcc, which means J=K=. Each flip-flop will toggle with a negative transition at its clock input. This kind of a counter in which output of one flipflop drives the other is called a ripple or asynchronous counter (as trigger moves like a ripple in water). A has to change its state before it can trigger B and B has to change its state before it can trigger C. So the overall propagation delay time is the sum of the individual delays. The operation of the counter can be explained as follows. Initially all the flip-flops are reset to produce outputs by making use of the clear inputs. The output condition is CBA=. When the first clock pulse strikes, A changes its states from to. Since it is a positive change it will not trigger B. So the output is CBA=. For the second pulse A changes from to. Since it is a negative change it triggers B. So B changes from to. Since it is a positive change, it will not trigger C. Now output is CBA=. For the third pulse A changes from to and it will not trigger B. So the output is CBA=. In this manner the counter will count up to which is given in the following truth table. Truth table

16 C B A Count Decimal equivalent Figure 3.3 Waveforms From the waveform shown in the Figure 3.3 it is clear that the counter can also be used as a frequency divider. The waveform at A is one half of the clock frequency. B is one fourth of the clock frequency and C is one eighth of the clock frequency. So using three flip-flops we are able to get 8[2 3 ] distinct states which can count up to the largest binary number equal to 7 [(2 3 -) = 7]. Mod-n counter The total number of counts or discrete states through which a counter can progress is given by 2 n, where n is the total number of flip-flops. The total number of states through which a counter can progress is said to be modulus of a counter. The counter which can count through 2, 4, 8, or 6 can be constructed easily by using the proper number of flip-flops. Sometimes it is required to construct a counter which can have modulus other than 2,4,8, or 6. In that case a smaller modulus counter is constructed from a larger modulus counter by skipping states. Such counters are said to have modified counts. The correct number of flip-flops is determined by choosing the lowest natural count (total number of states) which is greater than the desired modified count. For e.g. a mod-7 counter requires three flip-flops since 8 is the lowest natural count greater than the desired modified count of 7. Mod-7 Counter (Divide by 7 Counter)

17 Mod-7 counter has only 7 states. The nearest greater natural number for this counter is 2 3 =8. So we should take three flip-flops from which any one of the states can be skipped. The logic diagram of a mod-7 counter which makes use of feedback is shown in Figure3.4. Figure 3.4 Mod-7 Counter The working of the above circuit can be explained as follows. Imagine the circuit without a NAND gate. Now it will count from through l l l. To get a mod-7 Counter, we have to skip state, thereby reducing the count from 8 to 7. This can be done by giving all the outputs (i.e.) A, B and C to a NAND gate, the output of which is given to the clear inputs of all the flip-flops. So when A = B = C =, all the flip-flops will be cleared to s immediately as the counter advances to count the next state. Now one state is skipped so that the total number of states becomes equal to 7. Thus a mod-7 counter is obtained (from mod-8). The truth table and waveforms of a mod-7 Counter are shown in Figure 3.5. Truth table

18 Figure 3.5 Truth table and Waveforms BCD Counter (Mod- Counter/Decade Counter) A BCD Counter counts through different states. It is constructed from a mod-6 counter, by skipping 6 states. The logic diagram is shown in the Figure 3.6. Figure 3.6BCD Counter In Figure 3.6 the six states from to are skipped by giving ABCD to clear inputs through a NAND gate, where A=, B=, C= and D= enables the clear inputs and clears all the flip-flops. Since it counts only states, it is called a mod- Counter or decade counter. Figure 3.7 shows the truth table and wave forms of a BCD Counter.

19 Truth table Figure 3.7 Truth table and Waveforms Synchronous Counter (Parallel Counter) The ripple counter is easy to build but there is a limitation of to its highest operating frequency. Here each flip-flop has a delay time and these delays are additive so the propagation delay of the entire counter is the sum of the individual delays. This speed limitation can be overcome by the use of a synchronous or parallel counter. Because here each flip-flop is triggered by the clock and this makes simultaneously transition in all the flip-flops.

20 Mod-8 Parallel Binary Counter The circuit diagram of a mod-8 Parallel Counter is shown in the Figure 3.8. Figure 3.8 Mod-8 parallel Binary Counter All the three flip-flops are negatively edge triggered and both J&K inputs are tied to +Vcc. The flip-flop A changes state with each negative transition at the clock input. The output of the AND gate () goes high whenever the clock is high and A is high. Thus flip-flop B changes state with every other clock. The output of AND gate (2) goes high each time the clock is high and both A are B are high. Thus flip-flop A changes state with every fourth clock. It is found to have eight distinct states which represent a mod-8 parallel counter. The truth table and the wave forms are given in the Figure 3.9 Truth table C D A Count Figure 3.9 Truth table and Waveforms of Parallel Binary Counter

21 CHECK YOUR PROGRESS 2. Asynchronous counters are known as (a) ripple counters (b) multiple clock counters (c) decade counters (d) modulus counters 2. Asynchronous counter differs from an synchronous counter in (a) the number of states in its sequence (b) the method of clocking (d) the type of flip-flops used (d) the value of the modulus 3. A 4-bit binary counter has a maximum modulus of (a) 6 (b) 32 (c) 8 (d) 4 4. A BCD counter is an example of (a) a full-modulus counter (c) a truncated-modulus counter (b) a decade counter (d) answers (b) and (c) 3.4 SUMMARY Latches are bistable devices whose state normally depends on asynchronous inputs. Edge-triggered flip-flops are bistable devices with synchronous inputs whose state depends on the inputs only on the triggering transition of a clock pulse. Asynchronous and synchronous counters differ only in the way in which they are clocked. Synchronous counters can run at faster clock rates than asynchronous counters. The maximum modulus of a counter is the maximum number of possible states and is a function of number of flip-flops. 3.5 GLOSSARY Asynchronous counter : A type of counter in which each stage is clocked from the output of the preceding stage. Clock : The basic timing signal in a digital system Decade counter : A digital counter having ten states. Flip-flop : A basic storage circuit that can store only one bit at a time. Latch : A bistable digital circuit used for storing a bit

22 Preset : An asynchronous input used to set a flip-flop Ripple counter : An asynchronous counter. Synchronous counter : A type of counter in which each stage is clocked by the same pulse. Toggle : The action of a flip-flop when it changes state on each clock pulse. Trigger : A pulse used to initiate a change in the state of a logic circuit. Universal shift register : A register that has both serial and parallel input and output capability 3.6 REFERENCES. Moris Mano, Digital Computer Fundamentals TMH 3 rd Edition 2. Thomas C Bartee Computer Architecture and Logic and logic Design TMH 3. Malvino and Leech Digital Principles and Applications, TMH 4. Thomas L.Floyd Digital fundamentals Pearson Education 8 th Edition 3.7 ANSWERS TO CHECK YOUR PROGRESS QUESTIONS Check your progress.(c) 2.(d) 3.(d) 4.(b) 5.(a) 6.(c) 7.(a) 8.(c) Check your progress 2. (a) 2.(b) 3.(a) 4.(b)

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering Sri Vidya College of Engineering And Technology Virudhunagar 626 005 Department of Electrical and Electronics Engineering Year/ Semester/ Class : II/ III/ EEE Academic Year: 2017-2018 Subject Code/ Name:

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

CHAPTER 6 COUNTERS & REGISTERS

CHAPTER 6 COUNTERS & REGISTERS CHAPTER 6 COUNTERS & REGISTERS 6.1 Asynchronous Counter 6.2 Synchronous Counter 6.3 State Machine 6.4 Basic Shift Register 6.5 Serial In/Serial Out Shift Register 6.6 Serial In/Parallel Out Shift Register

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

Logic Gates, Timers, Flip-Flops & Counters. Subhasish Chandra Assistant Professor Department of Physics Institute of Forensic Science, Nagpur

Logic Gates, Timers, Flip-Flops & Counters. Subhasish Chandra Assistant Professor Department of Physics Institute of Forensic Science, Nagpur Logic Gates, Timers, Flip-Flops & Counters Subhasish Chandra Assistant Professor Department of Physics Institute of Forensic Science, Nagpur Logic Gates Transistor NOT Gate Let I C be the collector current.

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram UNIT III INTRODUCTION In combinational logic circuits, the outputs at any instant of time depend only on the input signals present at that time. For a change in input, the output occurs immediately. Combinational

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

LATCHES & FLIP-FLOP. Chapter 7

LATCHES & FLIP-FLOP. Chapter 7 LATCHES & FLIP-FLOP Chapter 7 INTRODUCTION Latch and flip flops are categorized as bistable devices which have two stable states,called SET and RESET. They can retain either of this states indefinitely

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Sequential circuits Classification of sequential circuits: Sequential circuits may be classified as two types. 1. Synchronous sequential

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

Sequential Logic Basics

Sequential Logic Basics Sequential Logic Basics Unlike Combinational Logic circuits that change state depending upon the actual signals being applied to their inputs at that time, Sequential Logic circuits have some form of inherent

More information

Sequential Logic Circuit

Sequential Logic Circuit Prof.Manoj avedia ( 98674297 ) (urallalone@yahoo.com) ` 4 Sequential Logic ircuit hapter-4(hours : Marks: )(269 Principle of Digital Electronics) SEUENTIL LOGI IRUIT 4. Introduction to Sequential Logic

More information

ASYNCHRONOUS COUNTER CIRCUITS

ASYNCHRONOUS COUNTER CIRCUITS ASYNCHRONOUS COUNTER CIRCUITS Asynchronous counters do not have a common clock that controls all the Hipflop stages. The control clock is input into the first stage, or the LSB stage of the counter. The

More information

Scanned by CamScanner

Scanned by CamScanner NAVEEN RAJA VELCHURI DSD & Digital IC Applications Example: 2-bit asynchronous up counter: The 2-bit Asynchronous counter requires two flip-flops. Both flip-flop inputs are connected to logic 1, and initially

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Chapter 7 Counters and Registers

Chapter 7 Counters and Registers Chapter 7 Counters and Registers Chapter 7 Objectives Selected areas covered in this chapter: Operation & characteristics of synchronous and asynchronous counters. Analyzing and evaluating various types

More information

UNIVERSITI TEKNOLOGI MALAYSIA

UNIVERSITI TEKNOLOGI MALAYSIA SULIT Faculty of Computing UNIVERSITI TEKNOLOGI MALAYSIA FINAL EXAMINATION SEMESTER I, 2016 / 2017 SUBJECT CODE : SUBJECT NAME : SECTION : TIME : DATE/DAY : VENUES : INSTRUCTIONS : Answer all questions

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 2/4 ELEKTRONIK DIGIT Kolej Universiti Kejuruteraan Utara Malaysia Sequential Logic Circuits - COUNTERS - LATCHES (review) S-R R Latch S-R R Latch Active-LOW input INPUTS OUTPUTS S R Q Q COMMENTS Q

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

Digital Logic Design ENEE x. Lecture 19

Digital Logic Design ENEE x. Lecture 19 Digital Logic Design ENEE 244-010x Lecture 19 Announcements Homework 8 due on Monday, 11/23. Agenda Last time: Timing Considerations (6.3) Master-Slave Flip-Flops (6.4) This time: Edge-Triggered Flip-Flops

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic -A Sequential Circuit consists of a combinational circuit to which storage elements are connected to form a feedback path. The storage elements are devices capable of storing

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP 1 Chapter Overview Latches Gated Latches Edge-triggered flip-flops Master-slave flip-flops Flip-flop operating characteristics Flip-flop applications

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

DIGITAL REGISTERS. Serial Input Serial Output. Block Diagram. Operation

DIGITAL REGISTERS. Serial Input Serial Output. Block Diagram. Operation DIGITAL REGISTERS http://www.tutorialspoint.com/computer_logical_organization/digital_registers.htm Copyright tutorialspoint.com Flip-flop is a 1 bit memory cell which can be used for storing the digital

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Analysis of Sequential Circuits

Analysis of Sequential Circuits NOTE: Explanation Refer lass Notes Digital ircuits(15ee23) Analysis of Sequential ircuits by Nagaraj Vannal, Asst.Professor, School of Electronics Engineering,.L.E. Technological University, Hubballi.

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Review of digital electronics. Storage units Sequential circuits Counters Shifters Review of digital electronics Storage units Sequential circuits ounters Shifters ounting in Binary A counter can form the same pattern of 0 s and 1 s with logic levels. The first stage in the counter represents

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay)  CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 7 (07 Feb 2008) 1 Announcement 2 1 Combinational vs. Sequential Logic Combinational Logic Memoryless Outputs

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

CHAPTER 1 LATCHES & FLIP-FLOPS

CHAPTER 1 LATCHES & FLIP-FLOPS CHAPTER 1 LATCHES & FLIP-FLOPS 1 Outcome After learning this chapter, student should be able to; Recognize the difference between latches and flipflops Analyze the operation of the flip flop Draw the output

More information

2. Counter Stages or Bits output bits least significant bit (LSB) most significant bit (MSB) 3. Frequency Division 4. Asynchronous Counters

2. Counter Stages or Bits output bits least significant bit (LSB) most significant bit (MSB) 3. Frequency Division 4. Asynchronous Counters 2. Counter Stages or Bits The number of output bits of a counter is equal to the flip-flop stages of the counter. A MOD-2 n counter requires n stages or flip-flops in order to produce a count sequence

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 121/4 ELEKTRONIK DIGIT 1 Kolej Universiti Kejuruteraan Utara Malaysia Bistable Storage Devices and Related Devices Introduction Latches and flip-flops are the basic single-bit memory elements used

More information

Digital Fundamentals

Digital Fundamentals igital Fundamentals Tenth Edition Floyd Chapter 7 Modified by Yuttapong Jiraraksopakun Floyd, igital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 Summary Latches A latch is a temporary

More information

Rangkaian Sekuensial. Flip-flop

Rangkaian Sekuensial. Flip-flop Rangkaian Sekuensial Rangkaian Sekuensial Flip-flop Combinational versus Sequential Functions Logic functions are categorized as being either combinational (sometimes referred to as combinatorial) or sequential.

More information

UNIT IV. Sequential circuit

UNIT IV. Sequential circuit UNIT IV Sequential circuit Introduction In the previous session, we said that the output of a combinational circuit depends solely upon the input. The implication is that combinational circuits have no

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist Sequential circuits Same input can produce different output Logic circuit If the same input may produce different output signal, we have a sequential logic circuit. It must then have an internal memory

More information

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW QUICK GUIDE http://www.tutorialspoint.com/computer_logical_organization/computer_logical_organization_quick_guide.htm COMPUTER LOGICAL ORGANIZATION - OVERVIEW Copyright tutorialspoint.com In the modern

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

3 Flip-Flops. The latch is a logic block that has 2 stable states (0) or (1). The RS latch can be forced to hold a 1 when the Set line is asserted.

3 Flip-Flops. The latch is a logic block that has 2 stable states (0) or (1). The RS latch can be forced to hold a 1 when the Set line is asserted. 3 Flip-Flops Flip-flops and latches are digital memory circuits that can remain in the state in which they were set even after the input signals have been removed. This means that the circuits have a memory

More information

The word digital implies information in computers is represented by variables that take a limited number of discrete values.

The word digital implies information in computers is represented by variables that take a limited number of discrete values. Class Overview Cover hardware operation of digital computers. First, consider the various digital components used in the organization and design. Second, go through the necessary steps to design a basic

More information

(Refer Slide Time: 2:00)

(Refer Slide Time: 2:00) Digital Circuits and Systems Prof. Dr. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture #21 Shift Registers (Refer Slide Time: 2:00) We were discussing

More information

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Digital Systems Laboratory 3 Counters & Registers Time 4 hours Digital Systems Laboratory 3 Counters & Registers Time 4 hours Aim: To investigate the counters and registers constructed from flip-flops. Introduction: In the previous module, you have learnt D, S-R,

More information

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Sequential Circuits Part 1 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Sequential Circuits Memory Elements Latches Flip-Flops Combinational

More information

Sequential Logic and Clocked Circuits

Sequential Logic and Clocked Circuits Sequential Logic and Clocked Circuits Clock or Timing Device Input Variables State or Memory Element Combinational Logic Elements From combinational logic, we move on to sequential logic. Sequential logic

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Counters Chapter 8 A System: Digital Clock Digital Clock: Counter Logic Diagram Digital Clock: Hours Counter & Decoders Finite State Machines Moore machine: One

More information

Slide 1. Flip-Flops. Cross-NOR SR flip-flop S R Q Q. hold reset set not used. Cross-NAND SR flip-flop S R Q Q. not used reset set hold 1 Q.

Slide 1. Flip-Flops. Cross-NOR SR flip-flop S R Q Q. hold reset set not used. Cross-NAND SR flip-flop S R Q Q. not used reset set hold 1 Q. Slide Flip-Flops Cross-NOR SR flip-flop Reset Set Cross-NAND SR flip-flop Reset Set S R reset set not used S R not used reset set 6.7 Digital ogic Slide 2 Clocked evel-triggered NAND SR Flip-Flop S R SR

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Chapter. Synchronous Sequential Circuits

Chapter. Synchronous Sequential Circuits Chapter 5 Synchronous Sequential Circuits Logic Circuits- Review Logic Circuits 2 Combinational Circuits Consists of logic gates whose outputs are determined from the current combination of inputs. Performs

More information

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany Digital Logic Design Sequential Circuits Dr. Basem ElHalawany Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs

More information

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001 Flip-Flops and Related Devices Wen-Hung Liao, Ph.D. 4/11/2001 Objectives Recognize the various IEEE/ANSI flip-flop symbols. Use state transition diagrams to describe counter operation. Use flip-flops in

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

The NOR latch is similar to the NAND latch

The NOR latch is similar to the NAND latch 5-2 NOR Gate Latch The NOR latch is similar to the NAND latch except that the Q and Q outputs are reversed. The set and clear inputs are active high, that is, the output will change when the input is pulsed

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 7 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

Other Flip-Flops. Lecture 27 1

Other Flip-Flops. Lecture 27 1 Other Flip-Flops Other types of flip-flops can be constructed by using the D flip-flop and external logic. Two flip-flops less widely used in the design of digital systems are the JK and T flip-flops.

More information

Chapter 8 Sequential Circuits

Chapter 8 Sequential Circuits Philadelphia University Faculty of Information Technology Department of Computer Science Computer Logic Design By 1 Chapter 8 Sequential Circuits 1 Classification of Combinational Logic 3 Sequential circuits

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

Review of Flip-Flop. Divya Aggarwal. Student, Department of Physics and Astro-Physics, University of Delhi, New Delhi. their state.

Review of Flip-Flop. Divya Aggarwal. Student, Department of Physics and Astro-Physics, University of Delhi, New Delhi. their state. pp. 4-9 Krishi Sanskriti Publications http://www.krishisanskriti.org/jbaer.html Review of Flip-Flop Divya Aggarwal Student, Department of Physics and Astro-Physics, University of Delhi, New Delhi Abstract:

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Department of CSIT Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Section A: (All 10 questions compulsory) 10X1=10 Very Short Answer Questions: Write

More information

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic Chapter 5. Synchronous Sequential Logic 1 5.1 Introduction Electronic products: ability to send, receive, store, retrieve, and process information in binary format Dependence on past values of inputs Sequential

More information

Introduction. Serial In - Serial Out Shift Registers (SISO)

Introduction. Serial In - Serial Out Shift Registers (SISO) Introduction Shift registers are a type of sequential logic circuit, mainly for storage of digital data. They are a group of flip-flops connected in a chain so that the output from one flip-flop becomes

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39 BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39 Objectives: Students should be able to Thursday 21 st January 2016 @ 10:45 am Module

More information

Sequential Circuits: Latches & Flip-Flops

Sequential Circuits: Latches & Flip-Flops Sequential Circuits: Latches & Flip-Flops Overview Storage Elements Latches SR, JK, D, and T Characteristic Tables, Characteristic Equations, Eecution Tables, and State Diagrams Standard Symbols Flip-Flops

More information

Sequential Logic Circuits

Sequential Logic Circuits Sequential Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has memory

More information