Radiation Effects and Mitigation Techniques for FPGAs

Size: px
Start display at page:

Download "Radiation Effects and Mitigation Techniques for FPGAs"

Transcription

1 Radiation Effects and Mitigation Techniques for FPGAs Fernanda Lima Kastensmidt Universidade Federal do Rio Grande do Sul (UFRGS) Contact:

2 Field Programmable Gate Arrays A type of gate array that is programmed in the field rather than in a semiconductor fab. It contains programmable logic components called "logic blocks", and a hierarchy of configurable interconnects that allow the blocks to be "wired together. Logic blocks: can be configured to perform complex combinational functions (combinational logic) include memory elements (sequential logic) It may contain embedded memories and microprocessors SERESSA 2 Fernanda Kastensmidt

3 FPGA Design Flow Design Synthesis optimizations Logic mapping Placement Routing configuration bitstream User s design mapped into the FPGA SERESSA 2 Fernanda Kastensmidt

4 FPGA Summary Programmable Element ANTIFUSE FLASH SRAM Characteristics electrically programmable switch forms a low resistance path between two metal layers. electrically programmable transistors hold the configuration that controls a pass transistors or multiplexers connected to predefined metal layers the state of a static latch controls pass transistors or multiplexers connected to predefined metal layers Configuration is NO volatile Configuration is NO volatile Configuration is volatile One-time configurable Re-configurable Re-configurable Example of Fabricants

5 SERESSA 2 Fernanda Kastensmidt

6 Effects of ionizing radiation Single Event Effects (SEE) Soft Errors Single Event Transient (SET) Single Event Upset (SEU) Hard Errors Functional Interrupt (SEFI) Single Event Latchup (SEL) Gate Rupture (SEGR) Single Event Burnout (SEB) Total Ionizing Dose (TID) Displacement Damage (DD) 6 SERESSA 2 Fernanda Kastensmidt

7 Radiation effects in FPGA Single Event Effects (SEE) Soft Errors Single Event Transient (SET) Single Event Upset (SEU) Hard Errors Functional Interrupt (SEFI) Single Event Latchup (SEL) Gate Rupture (SEGR) Single Event Burnout (SEB) Total Ionizing Dose (TID) Displacement Damage (DD) 7 SERESSA 2 Fernanda Kastensmidt

8 OFF OFF OFF OFF SEU in Memory Elements SRAM cell WL WL BIT-FLIP gnd P N N P ionization 8 SERESSA 2 Fernanda Kastensmidt

9 OFF SET in Logic Gates Inverter cell gnd P N N P ionization 9 SERESSA 2 Fernanda Kastensmidt

10 SET in Combinational Circuits Not all SETs are captured by a memory cell. They can be: Logical masked Electrical masked Latch window masked Logical masked e e e2 a3 Q SERESSA 2 Fernanda Kastensmidt

11 SET in Combinational Circuits Not all SETs are captured by a memory cell. They can be: Logical masked Electrical masked Latch window masked Electrical masked e e e2 a3 Negligible pulse Q SERESSA 2 Fernanda Kastensmidt

12 SET in Combinational Circuits Not all SETs are captured by a memory cell. They can be: Logical masked Electrical masked Latch window masked Latch window masked e e e2 a3 clk edge Q 2 SERESSA 2 Fernanda Kastensmidt

13 TID Effects in CMOS circuits Effects: shifts in the NMOS transistor Voltage Threshold (Vth) increase of leakage current in transistors Flash transistors: lost of gate charge Because oxide dimensions reduce with the advance of technology, TID effects have been reduced. SERESSA 2 Fernanda Kastensmidt

14 Using FPGA under Radiation Analyze SEU and SET effects in FPGA architecture and your configured design Use hardening techniques if needed Qualify FPGA and your design under radiation User implementation: Hardening techniques at hardware description language (your configured design): VHDL or Verilog design Vendor implementation: Hardening techniques at the FPGA matrix logic gates and flip-flops FPGA SERESSA 2 Fernanda Kastensmidt

15 Synthesis Tool Synthesis attributes must be set on your design tool. For example by using Synplify, Mentor or Synopsys. According to the tool and vendor there are: tmr attributes can be turned on or off and it can be local or global. Examples: Module br_core (...) /* synthesis syn_radhardlevel="tmr" */; reg hdw_loss_arb /* synthesis syn_radhardlevel="tmr" */; SERESSA 2 Fernanda Kastensmidt

16 Common used SEE Mitigation Techniques Localized TMR: Only flip-flops are TMR with voters By the user (HDL level description) By the vendor (in the silicon FPGA matrix) Global TMR (also known XTMR) All logic and flip-flops are TMR and voted Clk tree can be also triplicated IOBs can also be triplicated By the user (HDL level description) Reconfiguration SET filtering Usually by the user (HDL level description) Be very aware about synchronization EDAC and other redundancy methods Can be used in by user (HDL description) depending on the FPGA and cases.

17 One time Configurable FPGAs 7

18 Antifuse FPGA from Actel (Microsemi) RTAX S/SL.5 µm CMOS antifuse process technology, 7 metal layer.5v core Embedded memory 35 MHz Antifuse FPGAs SERESSA 2 Fernanda Kastensmidt

19 RAM CT RAM RAM RAM RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RAMC RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD RD HD HD HD HD HD HD HD HD HD HD HD HD HD [Actel, RTAX-S RadTolerant FPGAs 27] C R RX TX RX TX RX TX RX TX B C C C R Super Cluster RTAX-S device Antifuse FPGAs

20 D2 D DB A A Y D2 D DB A A FCO Y D D3 B B CFN FCI D D3 B B CFN RTAX-S device C C R C-CELL Susceptible to SET C-CELL R-CELL Robust to SEU X X X ERROR [Actel, RTAX-S RadTolerant FPGAs 27] Antifuse FPGAs SERESSA 2 Fernanda Kastensmidt

21 RTAX-S device Control Logic Logic redundancy design Clock Network Eliminate small leaves in the clock tree User flip-flop Hard-wired triple redundant latch for master and slave Embedded SRAM EDAC macro in FPGA design software (ACTgen) Hamming code detect two error bits, correct one error bit Bit separation: mitigate charge sharing [Wang et al, NSREC, 3] Antifuse FPGAs SERESSA 2 Fernanda Kastensmidt

22 D2 D DB A A Y D2 D DB A A Y D D3 B B FCI CFN D D3 B B FCI CFN RTAX-S: SEU Hardened Techniques [Wang et al, NSREC, 3] C C R Hardened flip-flop (TMR) C-CELL C-CELL comb logic comb logic VHDL / Verilog directly to the FPGA with no modifications as all flip-flops are already hardened by the vendor. Antifuse FPGAs SERESSA 2 Fernanda Kastensmidt

23 D2 D DB A A Y D2 D DB A A Y D D3 B B FCI CFN D D3 B B FCI CFN RTAX-S: SET mitigation by User [Wang et al, NSREC, 3] C C R Hardened flip-flop (TMR) C-CELL C-CELL comb logic SET Filter VHDL / Verilog must be modified to add SET filtering. Antifuse FPGAs

24 Antifuse FPGA from Aeroflex UT6325 RadTol Eclipse FPGA.25μm, five-layer metal, ViaLinkTM epitaxial CMOS 2 MHz 2.5V core supply voltage Embedded memory Antifuse FPGAs SERESSA 2 Fernanda Kastensmidt

25 RadHard Eclipse FPGA ERROR X hardened flip-flops Robust to SEU ViaLink connections Antifuse FPGAs

26 SEU hardened Technique Hardened flip-flop by vendor: based on DICE DICE Memory Cell [Calin, 96] Vdd Vdd Vdd Vdd MP MP MP2 MP3 A B C D MN MN MN2 MN3 Vss Vss Vss Vss clk MN4 MN5 MN6 MN7 D /D Embedded memory: EDAC code by user Combination logic: SET filtering by user Antifuse FPGAs

27 One-time configurable FPGA Summary Configuration cells are not sensitive to SEE. Flip-flops are not sensitive to SEU Actel and Aeroflex provides one solution where all flip-flops are hardened. No modifications at HDL is needed for SEU mitigation Logic are susceptible to SETs in high frequency (>Mhz) The user may protect the logic by using high level mitigation techniques in the HDL description of the design. Redundancy or EDAC is more appropriate than SET filtering, or SET filtering with TMR in flip-flops. Embedded memory EDAC codes implemented by user Antifuse FPGAs SERESSA 2 Fernanda Kastensmidt

28 Reconfigurable FPGAs 28

29 Reconfiguration in space: why? To gain flexibility Resource optimization due to time sharing Extend the lifetime by adding new functions Bug fixing Updates Reconfiguration has been there for years: Download of new software Enabling/disabling of spare modules Reconfigurable FPGAs can bring new possibilities! SERESSA 2 Fernanda Kastensmidt

30 FPGA structure/technology SERESSA 2 Fernanda Kastensmidt

31 What about space? Reconfigurable FPGAs: Configuration memory must be changeable on-the-fly Multiple configuration images must be stored Space environment can alter configuration information Challenges: Understand the problem Identify suitable error mitigation techniques SERESSA 2 Fernanda Kastensmidt

32 Xilinx Virtex-4QV SRAM-based FPGA Architecture BRAM Lookup Table CLB (LUT) A B C D PowerPC PowerPC Boolean Function F(A,B,C,D) DSP SRAM-based FPGAs 32

33 SEU in SRAM-based FPGAs: CLB slice LUT I I 2 I 3 I 4 CLB slice Transient Effect (corrected at next ffp load) routing Persistent effect (corrected by scrubbing) LUT Configuration memory bits SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt 33

34 SET in SRAM-based FPGAs : CLB slice LUT I I 2 I 3 I 4 X CLB slice SET may be captured by the ffp. routing Transient Effect (corrected at next ffp load) SRAM-based FPGAs LUT Configuration memory bits 34

35 SRAM-based FPGA General Routing Matrix (GRM) Xilinx Virtex-4QV Direct lines Long lines CLB CLB CLB CLB CLB CLB CLB Hex connections CLB Hex lines CLB CLB CLB CLB CLB CLB CLB CLB CLB CLB Direct connections Fast connect Double lines CLB CLB CLB SRAM-based FPGAs 35

36 Xilinx Virtex-4QV SEU in SRAM-based FPGAs: Routing configuration cells Direct connections: Hex connections: open short open short short open Persistent effect (corrected by scrubbing) SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt 36

37 Other sensitive structures Power-on Reset (POR) Low probability of occurrence Signature: done pin transitions low, I/O becomes tristated, no user functionality available Solution: reconfigure device SelectMAP and JTAG controllers Low probability of occurrence Signature: loss of communication, read access to configuration memory returns constant value. Solution: reconfigure device Input and Output Blocks (IOB) Power-PC Hard IP Single-Event-Functional Interrupts (SEFI) Digital Clock Manager (DCM) Multi-Gigabit Transceivers (MGT) SRAM-based FPGAs 37

38 Flash-based FPGA ProAsic3 /RT3P Flash-based FPGAs

39 SEE sensitivity Configurable Logic Block called VersaTile VersaTile logic Effect : SET in the logic Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

40 SEE sensitivity Configurable Logic Block called VersaTile VersaTile X Effect 2: SEU in the ffp ffp Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

41 SEE sensitivity Floating Gate (FG) switch Effect 3: SET in the logic path SET in the routing path Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

42 SEE Mitigation Techniques Design-level solutions: Use non rad-hard FPGAs (military / COTS): Design-level and architectural-level mitigation Place and route mitigation Device-level solutions: Use rad-hard FPGAs by construction (Virtex-5QV, ATF28), based on 2T memory elements, plus glitch filtering and ECC Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

43 SEE Mitigation Techniques Different types of mitigations according to the FPGA sensitivity Implemented by user: SEE mitigation techniques at design and architecture level: VHDL or Verilog; and at EDA level: place&route design Soft FPGAs (COTS/Military) SRAM-based FPGAs: Virtex families [Xilinx] - Virtex-4QV (Space-grade) FLASH-based FPGAs: ProASIC families [Actel] FPGA Flash-based FPGAs SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

44 Design Flow Mitigation for SRAM-based FPGAs HDL design TMR by hand ISE tool Placement Routing configuration bitstream ISE tool Synthesis optimizations Logic mapping Placement Routing Scrubbing (full or partial reconfiguration).. output Fault Injection (fault tolerance verification) SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

45 SEE mitigation for SRAM-based FPGAs Scrubbing: full or partial reconfiguration BOOT RUB XQR8V4 DATA[7:] OE/RESET CE GND CLK EEPROM Original bitstream XQR8V4 DATA[7:] OE/RESET CE GND CLK FPGA DATA[7:] INIT Configuration bits DONE CS WR I/O I/O RUB Controller I/O I/O O CCLK SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

46 Scrubbing Method Scrubbing can be performed: from outside the FPGA by another FPGA controller from inside the FPGA: Hardware Internal Configuration Access Port (HWICAP) SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

47 SEE mitigation for SRAM-based FPGAs Global TMR (also known XTMR) All logic and flip-flops are TMR and voted clk tree triplicated IOBs triplicated Implemented by the user (HDL level description) or by tool FPGA INPUT REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR flip-flop REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR flip-flop REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR Output Voter OUTPUT package PIN package PIN granularity SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

48 FPGA INPUT REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR flip-flop REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR flip-flop REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR Output Voter OUTPUT package PIN package PIN tr tr tr2 TMR flip-flop X clk MAJ OK clk MAJ OK clk2 MAJ OK OK OK The recovery path is mandatory to correct the state of the flipflops, specially in FSM. R R R2 MAJ LUT: _ SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt 48

49 FPGA INPUT REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR flip-flop REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR flip-flop REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) TMR Output Voter OUTPUT package PIN package PIN REF R R R2 MAJ : it allows the data to pass to the output pad. LUT: _ : it blocks the data R R R2 X OK OK O_voter O_voter O_voter 3-state_ R 3-state_ R 3-state_2 R2 X OK OK SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt 49

50 Embedded Memory (BRAM): EDAC Virtex5: XQR5VFX3 s from Xilinx BRAM blocks are configurable as bit RAM with 8-bit error correcting code (ECC) bits for every 64-bit word. The 8-bit ECC parity checksum detect and correct single-bit errors, and detect (but not correct) double-bit errors. For every word read, the 72-bits are fed into an ECC decoder which generates status bits indicating: no error, single-bit error detected and corrected, or double-bit error detected. [Allen et al., TNS 2] SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

51 Embedded memory (BRAM): TMR Upsets in BRAMs are not corrected by scrubbing. OK X OK TMR with refreshing can be used to mitigate upsets. Need to use Dual Port BRAMs. Mechanism to refresh the memory contents Counter Voters SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

52 SEE in Embedded Processor PowerPC Software-based techniques Duplication of variables with comparisons (data fault effects) Basic block signatures (control flow fault effects) Watch-dog and self-checking blocks (improve control flow fault effects) Two Power-PCs for detection and recomputation [Bernardi, et al,, TNS, 26] [Azambuja, et al, TNS, 2] SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

53 Problem: Domain Crossing Events Bit-flips in the routing can generate short cut connections among different blocks of the TMR (tr, tr and tr2). INPUT package PIN FPGA upset REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) X OK OK TMR register with voters and refresh OK OK OK tr tr tr2 TMR Output Majority Voter package PIN OUTPUT Upset affects only the redundant logic tr, consequently, the majority voter choose the correct result (two out of three outputs). SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

54 Problem: Domain Crossing Events Bit-flips in the routing can generate short cut connections among different blocks of the TMR (tr, tr and tr2). INPUT package PIN FPGA upset REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr) REDUNDANT LOGIC (tr2) X OK X TMR register with voters and refresh X X X tr tr tr2 TMR Output Majority Voter package PIN OUTPUT Upset affects two redundant logic modules, consequently, the majority voter may choose the wrong result (two out of three outputs). SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

55 Problem: Domain Crossing Events Bit-flips in the routing can generate short cut connections among different blocks of the TMR (tr, tr and tr2). Signal: tr_comp/n$32 Redundant upset Signal: counter6/counter/i$26/tr2_count() Redundant 2 SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

56 Problem: Domain Crossing Events According to the location of the upsets, more than one CLB or routing connections can be affected generating error in more than one TMR redundant part. ~5% of the upsets in the routing may result on this type of fault Multiple bit upsets due to: High density and small dimensions of the configuration memory cells. Charge sharing SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

57 Solution for Domain Crossing Events Use dedicated placement and routing to minimize domain cross-section and vulnerable bit upsets. RoRa: Reliability-Oriented Place and Route Algorithm RORA [Sterpone, L. Electronics System Design Techniques for Safety Critical Applications Publisher Springer, 28] SRAM-based FPGAs

58 SEE Mitigation in Flash-based FPGAs Considering only SEU effect: Local TMR EDAC (hamming code) ProAsic3 /RT3P Considering SET and SEU effects: Global TMR SET filtering System redundancy and checkers Etc User can protect the design at HDL level as in a ASIC! Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

59 SEU mitigation for FLASH-based FPGAs Local TMR: Only flip-flops are TMR with voters Implemented: By the user (HDL level description) By the vendor (in the silicon FPGA matrix) ProAsic3 /RT3P FPGA INPUT LOGIC TMR flip-flop LOGIC TMR flip-flop LOGIC TMR Output Voter OUTPUT Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

60 FPGA INPUT package PIN REDUNDANT LOGIC (tr) TMR flip-flop REDUNDANT LOGIC (tr) TMR flip-flop REDUNDANT LOGIC (tr) TMR Output Voter OUTPUT package PIN TMR flip-flop clk clk clk X OK OK MAJ OK R R R2 MAJ Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt 6

61 SEU&SET mitigation for FLASH-based FPGAs TMR & SET filtering Implemented usually by the user (HDL level description) Be very aware about performance degradation Added delay is proportional to the SET pulse width intended to be filtered. FPGA INPUT LOGIC Delay x Delay x2 TMR flip-flop LOGIC Delay x Delay x2 TMR Output Voter OUTPUT Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

62 FPGA INPUT LOGIC Delay x Delay x2 TMR flip-flop LOGIC Delay x Delay x2 TMR Output Voter OUTPUT clk logic clk X MAJ OK logic logic2 Delay x clk OK ffp ffp Delay x2 clk OK ffp2 MAJ Frequency penalty is proportional to Delay Flash-based FPGAs 62

63 SEU & SET mitigation in Flash-based FPGA VersaTile logic VersaTile logic VersaTile ffp VersaTile MAJ VersaTile VersaTile delay ffp VersaTile VersaTile VersaTile delay delay ffp VHDL / Verilog must be modified to add SET filtering and TMR Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

64 SEE Mitigation Techniques Different types of mitigations according to the FPGA sensitivity RadHard SRAM-based FPGAs Virtex-5QV (Space-grade) - SIRF [XILINX] ATF28E [ATMEL] design Implemented by vendor: Device level and Hardening by Design (RHBD) techniques at the FPGA matrix logic gates and flip-flops FPGA Flash-based FPGAs SERESSA 2 Fernanda Kastensmidt

65 ATF28 Reprogrammable RadTol FPGAs From Atmel SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

66 Mitigation Techniques in ATF28 Layout rules to mitigate charge sharing SEU hardened Memory cells (2T) Core cell Flip-Flops, embedded memory, configuration memory based on radiation hardened Flip-Flops Controller protected by classical TMR RAM address decoders, clock and reset trees Protected by DMR (resistive isolation path based on N and P isolated path carrying the same signal) Isolation path to filter SET [BANCELIN, MAPLD 29] SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

67 Device-level SEE Mitigation in ATF28E FPGA SEU Hardened Flip-flop Isolation path for SET [BANCELIN, MAPLD 29] SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

68 Xilinx SIRF FPGA Virtex-5QV (Space-grade) 65nm CMOS process SEU hardened flip-flops: All configuration SRAM cells All user flip-flops (CLB) SET filtering structure that can be configured on or off when configuring the FPGA. BRAMs have EDAC with refreshing to avoid accumulation of errors. SRAM-based FPGAs SERESSA 2 Fernanda Kastensmidt

69 Resource Comparison SEU Equiv. Gates (4-LUT) FFps ANTIFUSE.5 K 4 K 3 K 2 K FLASH 75 K or 75 K SRAM 8 K 4 K 8 K 4 K Dist. Mem (bits) - - -, K - Emb. Mem (bits) DSP modules 55 K 54 K 54 K 6, K μm.5μm.3μm.9μm 5 K -.8μm [From Vendor Datasheets] SERESSA 2 Fernanda Kastensmidt

70 SEE Mitigation techniques ANTIFUSE FLASH SRAM SET SEU SET filtering or global TMR None SET filtering with localized TMR / EDAC / global TMR / others Global TMR None* None Config. bits None None None Scrubbing None SERESSA 2 Fernanda Kastensmidt

71

72 SEE Summary SEU ANTIFUSE FLASH SRAM Satured cross- Section (cm 2 /ffp) Embedded memory 2E-7 4E-9 4E-8 3E-8 Flip-flop 5E-7 E-9 2E-7 7E-7 LETth MeV-cm 2 /mg Embedded memory Flip-flop μm.5μm.3μm.9μm *With mitigation: SEE immunity < 43 MeV-cm2/mg

73 SEE Summary SEU ANTIFUSE FLASH SRAM Error Rate per bit Embedded memory 4.8E- 4.4E-2 4E-8 7E-7 Flip-flop 2.8E- 7.E-3 5E-9 2E-6.25μm.5μm.3μm.9μm The final Error Rate depends on your final design!

74 TID and SEL effects in FPGAs ANTIFUSE FLASH SRAM TID 3 krad (si) 3 krad (si) < 4 krad (si) 3 krad (si) SEL free < 2 Mev-cm2/mg < 7 Mev-cm2/mg < 96 Mev-cm2/mg < 25 Mev-cm2/mg < 7 Mev-cm2/mg SERESSA 2 Fernanda Kastensmidt

75 TID Effects in ProASIC3 / RT3P Logic is fabricated in 3nm and it presents electrical degradations that follows ASIC degradation. Floating gate (FG) transistor show degradation in: Vth shifts Leakage current Lost of charge Degradation in Charge-pump and control circuits. 27/9/2 ESTEC

76 TID Test: Co-6 source ProAsic3 FPGA Rate:.749 krad(si)/h.48 rad(si)/s At IEAv in São Jose dos Campos, Brazil

77 Temperature (Celsius) Power supply DC current (A) Current & Temperature x krads(si) Accumulated dose (krad(si)) Accumulated dose (krad(si))

78 TID effect Propagation Delay Degradation in ProASIC3 (3nm technology) [Kastensmidt et al, TNS, 2] SERESSA 2 Fernanda Kastensmidt

79 % Propagation-delay Degradation Avg (casea & caseb) - measured Avg2 (casec) - measured Avg3 (cased) - measured Avg (all) - measured Acummulated dose (krad(si)) 27/9/2 ESTEC

80 Conclusions Reconfigurable computing could be a breakthrough for certain space applications However, space is a very conservative business and may deem reconfigurable computing as too risky Sensitivity of devices to radiations Complexity of the design and validation process Enabling technology still not mature enough: Virtex 5 QV is ITAR and unknown AT28 is too small and low performing RT3P is not yet as capable as high-end SRAM FPGAs SERESSA 2 Fernanda Kastensmidt

81 Thank You! Fernanda Lima Kastensmidt Universidade Federal do Rio Grande do Sul (UFRGS) Contact:

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Self Restoring Logic (SRL) Cell Targets Space Application Designs

Self Restoring Logic (SRL) Cell Targets Space Application Designs TND6199/D Rev. 0, SEPT 2015 Self Restoring Logic (SRL) Cell Targets Space Application Designs Semiconductor Components Industries, LLC, 2015 September, 2015 Rev. 0 1 Publication Order Number: TND6199/D

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab CPU design and PLDs Tajana Simunic Rosing Source: Vahid, Katz 1 Lab #3 due Lab #4 CPU design Today: CPU design - lab overview PLDs Updates

More information

Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy

Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2010-03-10 Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy Jonathan Mark Johnson Brigham

More information

Tolerant Processor in 0.18 µm Commercial UMC Technology

Tolerant Processor in 0.18 µm Commercial UMC Technology The LEON-2 2 Fault- Tolerant Processor in 0.18 µm Commercial UMC Technology Microelectronics Presentation Days ESTEC, 4 5 February 2004 Roland Weigand European Space Agency Data Systems Division TOS-EDM

More information

M. Alderighi/F. Casini

M. Alderighi/F. Casini Scuola Nazionale "Rivelatori ed Elettronica per Fisica delle Alte Energie, Astrofisica, Applicazioni Spaziali e Fisica Medica" Simulare "Soft-error" in "SRAM-based FPGA": la piattaforma FLIPPER M. Alderighi/F.

More information

Voter Insertion Techniques for Fault Tolerant FPGA Design.

Voter Insertion Techniques for Fault Tolerant FPGA Design. Voter Insertion Techniques for Fault Tolerant FPGA Design. Jonathan Johnson Michael Wirthlin NSF Center for High Performance Reconfigurable Computing (CHREC) Dept. of Elec. & Comp. Engineering Brigham

More information

Product Update. JTAG Issues and the Use of RT54SX Devices

Product Update. JTAG Issues and the Use of RT54SX Devices Product Update Revision Date: September 2, 999 JTAG Issues and the Use of RT54SX Devices BACKGROUND The attached paper authored by Richard B. Katz of NASA GSFC and J. J. Wang of Actel describes anomalies

More information

A Reconfigurable, Radiation Tolerant Flexible Communication Platform (FCP) S-Band Radio for Variable Orbit Space Use

A Reconfigurable, Radiation Tolerant Flexible Communication Platform (FCP) S-Band Radio for Variable Orbit Space Use A Reconfigurable, Radiation Tolerant Flexible Communication Platform (FCP) S-Band Radio for Variable Orbit Space Use Michael Epperly Christopher Sauer, John Dickinson Southwest Research Institute 6220

More information

A Practical Look at SEU, Effects and Mitigation

A Practical Look at SEU, Effects and Mitigation A Practical Look at SEU, Effects and Mitigation Ken Chapman FPGA Network: Safety, Certification & Security University of Hertfordshire 19 th May 2016 Premium Bonds Each Bond is 1 Each stays in the system

More information

Towards Trusted Devices in FPGA by Modeling Radiation Induced Errors

Towards Trusted Devices in FPGA by Modeling Radiation Induced Errors Digital Design and Dependability Research Group FIT, CTU in Prague Towards Trusted Devices in FPGA by Modeling Radiation Induced Errors Tomáš Vaňát, Jan Pospíšil, Jan Schmidt {vanattom, pospij17,schmidt}@fit.cvut.cz

More information

Radiation Hardening By Design

Radiation Hardening By Design Radiation Hardening By Design Low Power, Radiation Tolerant Microelectronics Design Techniques Steven Redant IMEC Emmanuel Liégeon Alcatel Space Steven.Redant@imec.be Emmanuel.Liegeon@space.alcatel.fr

More information

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran 1 CAD for VLSI Design - I Lecture 38 V. Kamakoti and Shankar Balachandran 2 Overview Commercial FPGAs Architecture LookUp Table based Architectures Routing Architectures FPGA CAD flow revisited 3 Xilinx

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab FSMs Tajana Simunic Rosing Source: Vahid, Katz 1 Flip-flops Hardware Description Languages and Sequential Logic representation of clocks

More information

An Introduction to Radiation-Induced Failure Modes and Related Mitigation Methods For Xilinx SRAM FPGAs

An Introduction to Radiation-Induced Failure Modes and Related Mitigation Methods For Xilinx SRAM FPGAs n Introduction to Radiation-Induced Failure Modes and Related Mitigation Methods For Xilinx SRM FPGs Heather Quinn, Paul Graham, Keith Morgan, Jim Krone, Michael Caffrey, and Michael Wirthlin bstract Over

More information

Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs

Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs Vikram Chandrasekhar Sk Noor Mahammad V Muralidaran V Kamakoti Department of Computer Science and Engineering Indian Institute

More information

Design Techniques for Radiation-Hardened FPGAs

Design Techniques for Radiation-Hardened FPGAs Design Techniques for Radiation-Hardened FPGAs Application Note AC128 Introduction With the RH1280 and RH1020, Actel Corporation introduces radiation-hardened versions of the popular A1280 and A1020 field

More information

Self-Test and Adaptation for Random Variations in Reliability

Self-Test and Adaptation for Random Variations in Reliability Self-Test and Adaptation for Random Variations in Reliability Kenneth M. Zick and John P. Hayes University of Michigan, Ann Arbor, MI USA August 31, 2010 Motivation Physical variation is increasing dramatically

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

Reconfigurable Communication Experiment using a small Japanese Test Satellite

Reconfigurable Communication Experiment using a small Japanese Test Satellite Reconfigurable Communication Experiment using a small Japanese Test Satellite Nozomu Nishinaga Space Communications Network Group National Institute of Information and Communications Technology (NICT CT)

More information

RELATED WORK Integrated circuits and programmable devices

RELATED WORK Integrated circuits and programmable devices Chapter 2 RELATED WORK 2.1. Integrated circuits and programmable devices 2.1.1. Introduction By the late 1940s the first transistor was created as a point-contact device formed from germanium. Such an

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic EEA091 - Digital Logic 數位邏輯 Chapter 7 Memory and Programmable Logic 吳俊興國立高雄大學資訊工程學系 2006 Chapter 7 Memory and Programmable Logic 7-1 Introduction 7-2 Random-Access Memory 7-3 Memory Decoding 7-4 Error

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller Application Note AC228 and FULL Flag Behaviors of the Axcelerator FIFO Controller Introduction The purpose of this application note is to specifically illustrate the following two behaviors of the FULL

More information

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General... EECS150 - Digital Design Lecture 18 - Circuit Timing (2) March 17, 2010 John Wawrzynek Spring 2010 EECS150 - Lec18-timing(2) Page 1 In General... For correct operation: T τ clk Q + τ CL + τ setup for all

More information

Digital Systems Design

Digital Systems Design ECOM 4311 Digital Systems Design Eng. Monther Abusultan Computer Engineering Dept. Islamic University of Gaza Page 1 ECOM4311 Digital Systems Design Module #2 Agenda 1. History of Digital Design Approach

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

Examples of FPLD Families: Actel ACT, Xilinx LCA, Altera MAX 5000 & 7000

Examples of FPLD Families: Actel ACT, Xilinx LCA, Altera MAX 5000 & 7000 Examples of FPL Families: Actel ACT, Xilinx LCA, Altera AX 5 & 7 Actel ACT Family ffl The Actel ACT family employs multiplexer-based logic cells. ffl A row-based architecture is used in which the logic

More information

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems

Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 6: Simple and Complex Programmable Logic Devices MEMORY 2 Volatile: need electrical power Nonvolatile: magnetic disk, retains its stored information after the removal

More information

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida Reconfigurable Architectures Greg Stitt ECE Department University of Florida How can hardware be reconfigurable? Problem: Can t change fabricated chip ASICs are fixed Solution: Create components that can

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

in Xilinx Devices each) Input/Output Blocks XtremeDSP slices (DSP48) System Monitor Block

in Xilinx Devices each) Input/Output Blocks XtremeDSP slices (DSP48) System Monitor Block Single Event psets in Xilinx Devices Virtex-4 FPGA J. George, R. Koga, G. Swift, G. Allen, C. Carmichael, and C. W. Tseng [2]. The LX family is weighted more heavily toward logic resources, the SX toward

More information

RTG4 Radiation Update J.J. Wang, Chief Engineer Nadia Rezzak, Staff Engineer Stephen Varela, Engineer

RTG4 Radiation Update J.J. Wang, Chief Engineer Nadia Rezzak, Staff Engineer Stephen Varela, Engineer RTG4 Radiation Update J.J. Wang, Chief Engineer Nadia Rezzak, Staff Engineer Stephen Varela, Engineer 1 Company Overview Leading-Edge Semiconductor Solutions Differentiated by: Performance Reliability

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs)

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University 18 643 Lecture 2: Basic FPGA Fabric James. Hoe Department of EE arnegie Mellon University 18 643 F17 L02 S1, James. Hoe, MU/EE/ALM, 2017 Housekeeping Your goal today: know enough to build a basic FPGA

More information

Single Event Effect Mitigation in Digital Integrated Circuits for Space

Single Event Effect Mitigation in Digital Integrated Circuits for Space Single Event Effect Mitigation in Digital Integrated Circuits for Space Topical Workshop on Electronics for Particle Physics 21. September 2010 Aachen Roland Weigand European Space Agency Data Systems

More information

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process (Lec 11) From Logic To Layout What you know... Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process High-level design description

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

A Tool For Run Time Soft Error Fault Injection. Into FPGA Circuits

A Tool For Run Time Soft Error Fault Injection. Into FPGA Circuits A Tool For Run Time Soft Error Fault Injection Into FPGA Circuits A TOOL FOR RUN TIME SOFT ERROR FAULT INJECTION INTO FPGA CIRCUITS BY MARVIN ZUZARTE, B.Eng. a thesis submitted to the department of Computing

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

FPGA Implementation of Sequential Logic

FPGA Implementation of Sequential Logic ECE 428 Programmable ASIC Design FPGA Implementation of Sequential Logic Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 8-1 Sequential Circuit Model Combinational Circuit:

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS Application Note ABSTRACT... 3 KEYWORDS... 3 I. INTRODUCTION... 4 II. TIMING SIGNALS USAGE AND APPLICATION... 5 III. FEATURES AND

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 5, July 2015, PP 1-7 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org An Application

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Indira P. Dugganapally, Waleed K. Al-Assadi, Tejaswini Tammina and Scott Smith* Department of Electrical and Computer

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

Built-In Self-Test of Embedded SEU Detection Cores in Virtex-4 and Virtex-5 FPGAs

Built-In Self-Test of Embedded SEU Detection Cores in Virtex-4 and Virtex-5 FPGAs Built-In Self-Test of Embedded SEU Detection Cores in Virtex-4 and Virtex-5 FPGAs Bradley F. Dutton and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University, Alabama Abstract

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Hardware Design I Chap. 5 Memory elements

Hardware Design I Chap. 5 Memory elements Hardware Design I Chap. 5 Memory elements E-mail: shimada@is.naist.jp Why memory is required? To hold data which will be processed with designed hardware (for storage) Main memory, cache, register, and

More information

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Madhavi Anupoju 1, M. Sunil Prakash 2 1 M.Tech (VLSI) Student, Department of Electronics & Communication Engineering, MVGR

More information

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Roland Weigand February 04, 2013 Design Automation Conference User Track European Space Agency Microelectronics Section Author

More information

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA Abstract: The increased circuit complexity of field programmable gate array (FPGA) poses a major challenge

More information

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida CDA 4253 FPGA System Design FPGA Architectures Hao Zheng Dept of Comp Sci & Eng U of South Florida FPGAs Generic Architecture Also include common fixed logic blocks for higher performance: On-chip mem.

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation Outline CPE 528: Session #12 Department of Electrical and Computer Engineering University of Alabama in Huntsville Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

More information

Read-only memory (ROM) Digital logic: ALUs Sequential logic circuits. Don't cares. Bus

Read-only memory (ROM) Digital logic: ALUs Sequential logic circuits. Don't cares. Bus Digital logic: ALUs Sequential logic circuits CS207, Fall 2004 October 11, 13, and 15, 2004 1 Read-only memory (ROM) A form of memory Contents fixed when circuit is created n input lines for 2 n addressable

More information

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits Elena Dubrova KTH/ICT/ES dubrova@kth.se This lecture BV pp. 98-118, 418-426, 507-519 IE1204 Digital Design, HT14 2 Programmable

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS Phaneendra Bikkina 1, Qingjun Fan 2, Wenlan Wu 1, Jinghong Chen 2 and Esko Mikkola 1 1 Alphacore, Inc., 2 University of Houston 2017 CASPER Workshop Pasadena,

More information

IE1204 Digital Design. F11: Programmable Logic, VHDL for Sequential Circuits. Masoumeh (Azin) Ebrahimi

IE1204 Digital Design. F11: Programmable Logic, VHDL for Sequential Circuits. Masoumeh (Azin) Ebrahimi IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits Masoumeh (Azin) Ebrahimi (masebr@kth.se) Elena Dubrova (dubrova@kth.se) KTH / ICT / ES This lecture BV pp. 98-118, 418-426, 507-519

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 9 Field Programmable Gate Arrays (FPGAs)

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 9 Field Programmable Gate Arrays (FPGAs) EE 459/5 HDL Based Digital Design with Programmable Logic Lecture 9 Field Programmable Gate Arrays (FPGAs) Read before class: Chapter 3 from textbook Overview FPGA Devices ASIC vs. FPGA FPGA architecture

More information

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking. EE141-Fall 2011 Digital Integrated Circuits Lecture 2 Clock, I/O Timing 1 4 Administrative Stuff Pipelining Project Phase 4 due on Monday, Nov. 21, 10am Homework 9 Due Thursday, December 1 Visit to Intel

More information

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM) Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design Laboratory 3: Finite State Machine (FSM) Mapping CO, PO, Domain, KI : CO2,PO3,P5,CTPS5 CO2: Construct logic circuit using

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

VLSI IEEE Projects Titles LeMeniz Infotech

VLSI IEEE Projects Titles LeMeniz Infotech VLSI IEEE Projects Titles -2019 LeMeniz Infotech 36, 100 feet Road, Natesan Nagar(Near Indira Gandhi Statue and Next to Fish-O-Fish), Pondicherry-605 005 Web : www.ieeemaster.com / www.lemenizinfotech.com

More information

Understanding Design Requirements for Building Reliable, Space-Based FPGA MGT Systems Based on Radiation Test Results

Understanding Design Requirements for Building Reliable, Space-Based FPGA MGT Systems Based on Radiation Test Results Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2012-03-20 Understanding Design Requirements for Building Reliable, Space-Based FPGA MGT Systems Based on Radiation Test Results

More information

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL B.Sanjay 1 SK.M.Javid 2 K.V.VenkateswaraRao 3 Asst.Professor B.E Student B.E Student SRKR Engg. College SRKR Engg. College SRKR

More information

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL EC6302-DIGITAL ELECTRONICS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated by the alphabets

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Single Event Characterization of a Xilinx UltraScale+ MP-SoC FPGA

Single Event Characterization of a Xilinx UltraScale+ MP-SoC FPGA Single Event Characterization of a Xilinx UltraScale+ MP-SoC FPGA Thomas LANGE, Maximilien GLORIEUX, Adrian EVANS, A-Duong IN, Thierry BONNOIT, Dan ALEXANDRESCU iroc Technologies France Cesar BOATELLA

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are the digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs.

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

In-System Testing of Configurable Logic Blocks in Xilinx 7-Series FPGAs

In-System Testing of Configurable Logic Blocks in Xilinx 7-Series FPGAs In-System Testing of Configurable Logic Blocks in Xilinx 7-Series FPGAs Harmish Rajeshkumar Modi Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial fulfillment

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI 96822 ABSTRACT NASA s objective is to colonize the planet Mars, for the

More information

A S. x sa1 Z 1/0 1/0

A S. x sa1 Z 1/0 1/0 FPGA Characteristics Configuration memory 32Kbits 79Mbits Array of Programmable Logic Blocks (PLBs) 25,92 PLBs per FPGA 8 8 4-input LUTs and 8 flip-flops flops per PLB Programmable interconnect network

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information