AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

Size: px
Start display at page:

Download "AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)"

Transcription

1 AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) S.Santhoshkumar, L.Saranya 2 (UG Scholar, Dept.of.ECE, Christ the king Engineering college, Tamilnadu, India, santhosh29ece@gmail.com) 2 (Asst. Professor, Dept.of.ECE, Christ the King Engineering College, Tamilnadu, India, saranyalece@yahoo.com) Abstract An efficient double edge triggering flip flop (DETFF) for low-power and high-performance applications is presented in this paper. The aim of the DETFF is to reduce pipeline overhead. In its pulse generator, the four inverters are used to generate the inverted and delayed clock signals. The explicit pulse generator is simple and suitable for Modified double-edge triggering. However, the flip flop latency may be degraded due to the large capacitive loads output nodes. On top of that, MDETFF suffers from high leakage current. This is caused by a high-voltage drop across transistor. when they are off, the pulse generating stage, the sensing stage and the latching stage. The Modified double edge-triggered pulse generatorr produces a brief pulse signal synchronized at the rising and falling clock edges. Therefore, the conditional pre charging technique is applied in the sensing stage of MDETFF, to avoid redundant transitions at major internal nodes. As for output it only needs to be pre charged in the first cycle and remains at its high state for the remaining cycles. Since the pre charging activity is conditionally controlled, the critical pull down path is simplified, consisting of only one signal transistor. This helps to reduce the discharging time significantly. As such, the resulting sensing stage possesses low-power and high-speed feature. IndexTerms- Flip-Flop, High-speed, Leakagee power, Low paper.introduction:- In many digital very large scale integrationn (VLSI) designs, the clock system that includes clock distribution network and flip-flops, is one of the most power consumption components. It accounts for 0% to 60% of the total system power, where 90% of which is consumed by the flip-flops and the last branches of the clock distribution network that is driving the flip-flop [].With the recent trend in frequency scaling and deep pipelining, this clocking system power may be even more pronounced. As the power budget of today s portable digital circuit is severely limited, it is important to reduce the power dissipation in both clock distribution networks and flip- budget at high flops. Moreover, because of the tight timing frequency operation, the latency of the flip-flops should be minimized. Hence, the ability to achieve a design that ensures both power consumption and small latency is essential in modern VLSI technology. The system requirements are also rising up with this continuous advancing process of technology and speed of operation. In synchronous systems, high speed has been achieved using advanced pipelining techniques. In modern deep-pipelined architectures, pushing the speed further up demands a lower pipeline overhead. This overhead is the latency associated with the pipeline elements, such as the flipbeen devoted to flops and latches. Extensive work has improve the performance of the flip-flops in the past few decades[] [2] [] [4]. Hybrid latch flip-flop (HLFF) [] and semi dynamic flip flop (SDFF) [2] are considered as the classic high- architecture that performance flip-flops. They possess a hybrid combines the merits of dynamic and static structures. In addition, SDFF has a distinctive capability of incorporating logic very efficiently, because unlike the true single phase latch (TSPC) in Yuan and Svensson s experiment [], only one transistor is driven by the data input. This greatly helps in reducing the pipeline overhead since the delay and area associated with one or more logic stages preceding the flip- hybrid flip-flop designs have flop can be eliminated. Several been proposed in the past decade, all aiming at reduction of power, delay, and area. Advantage over SDFF and HLFF in both power and speed. It uses a split-dynamic node to reduce the pre charge capacitance, which is one of the most important reasons for the large power consumptionn in most of the conventional designs. But this structure still has some drawbacks, due to redundant power dissipation that results when the data does not switch for more than one clock (CLK) cycles. 2.ANALYSIS ARCHITECTURES :- OF FLIP-FLOP A large number of flip-flops and latches have been published in the past few decades. They can be grouped under the static and dynamic design styles. The category of the flip- includes the modern high flop design, the dynamic flip-flops performance flip-flops [] [2] [] [4]. There are purely dynamic designs as well as Pseudo dynamic structures. The latter, which has an internal pre charge structure and a static output, deserves special attention because of their distinctive performance improvements. They are called the semi-dynamic or hybrid structures, becausee they consist of a dynamic frontend and a static output. HLFF (Fig. ) and SDFF (Fig. 2) fall under this category. They benefit from the CLK overlap to perform the latching operation. SDFF is the fastest classic hybrid structure, but is not efficient as far as power consumption is concerned because of the large CLK load as well as the large pre charge capacitance. HLFF is not the fastest but has a lower power consumption compared to the 9

2 SDFF. The longer stack of nmos transistors at the output node (Fig. 2) makes it slower than SDFF and causes large hold-time requirement. This large positive hold time requirement makes the integration of HLFF to complex circuits a difficult process. Also it is inefficient in embedding logic. The major sources of power dissipation in the conventional semi-dynamic designs are the redundant data transitions and large pre charge capacitance. Many attempts have been made to reduce the redundant dataa transitions in the flip-flops [] [4]. Fig..HLFF Since there are no added transistorss in the pull-down nmos stack, the speed performance is not greatly affected. But the presence of three stacked nmos transistors at the output node, similar to HLFF, and the presence of conditional structures in the critical path increasee the hold time requirement and D-Q delay of the flip-flop. Also, the additional transistors added for the conditional circuitry make the flip-flop bulky and cause an increase in power dissipation at higher data activities. The large pre charge-capacitance in a wide variety of designs results from the fact that both the output pull-up and the pull-down transistor are driven by this pre charge node. These transistors being driving large output loads contribute to most of the capacitance at this node. Fig.2.SDFF It reduces the power dissipation by splitting the dynamic node into two, each one separately driving the output pull-up and pull-down transistors as shown in Fig. 2. Since only one of the two dynamic nodes is switched during one CLK cycle, the total power consumption is considerably reduced without any degradation in speed. Also XCFF has a comparatively lower CLK driving load. One of the major drawbacks of this design is the redundant pre charge at node X2 and X for data patterns containing more 0 s and s, respectively. In addtion to the large hold time requirement resulting from the conditional shutoff mechanism, a low to high transition in the CLK when the data is held low can cause charge sharing at node X. This can trigger erroneous transition at the output unless the inverter pair INV-2 is carefully skewed. This effect of charge sharing becomes uncontrollably large when complex functions are embedded into the design. If D is zero prior to the overlap period, node X remains high and node X2 is pulled low through NM as the CLK goes high. Thus, node QB is charged high through PM2 and NM4 is held off. At the end of the evaluation phase, as the CLK falls low, node X remains highh and X2 stores the charge dynamically. The architecturee exhibits negative setup time since the short transparency period defined by the overlap CLK of and CLKB allows the data to be sampled even after the rising edge of the CLK before CLKB falls low []. Fig. shows the post-layout timing diagram of the flip- and.2 V supply in 90-nm flop at 2-GHz CLK frequency UMC process technology. Node X undergoes charge sharing when the CLK makes a low to high transition while D is held low. This results in a momentary fall in voltage at node X, but the inverter pair INV-2 is skewed properly such that it has a switching threshold well below the worst case voltage drop at node X due to charge sharing. The timing diagram shows that node X2 retains the charge level during the precharge phase when it is not driven by any transistor. Note that the temporary pull down at node X2 when sampling a one is due to the delay between X and XB. The conditional shutoff mechanism provided in SDFF (Fig. 2) is robust. It is capable of producing smaller sampling window by skewing the inverters and the NAND gate in the conditional shutoff path. Although this method can provide lower hold time requirements, it results in a larger precharge node capacitance and, hence, higher power consumption..ddff:- To analyze the performance of DDFF, other designs were also simulated under similar conditions. Since the D-Q delay reflects the actual portion of the time period consumed by the latching device, we follow the method specified by Stojanovic and Oklobdzija [7] to consider the minimum D-Q delay as the performance metric for speed. Optimum setup-time is the data-to-clk delay when D-Q is at its minimum. As mentioned by Stojanovic and Oklobdzija [7], the power is divided into three parts the latching power, the local CLK driving power, and the local data driving power, to accurately analyze the power-performancee of various designs. The simulations are carried out at various data activities to obtain a realistic performance comparison of various designs. 20

3 Fig..DDFF The DDFF architecture Node X is pseudo-dynamic, with a weak inverter acting as a keeper, whereas, compared to the XCFF, in the new architecture node X2 is purely dynamic. An unconditional shutoff mechanism is provided at the frontend instead of the conditional one in XCFF. The operation of the flip-flop can be divided into two phases: ) the evaluation phase, when CLK is high, and 2) the pre charge phase, when CLK is low. The actual latching occurs during the overlap of CLK and CLKB during the evaluation phase. If D is high prior to this overlap period, node X is discharged through NM0-2. This switchess the state of the cross coupled inverter pair INV-2 causing node XB to go high and output QB to discharge through NM4. The low level at the node X is retained by the inverter pair INV-2 for the rest of the evaluation phase where no latching occurs. Thus, node X2 is held high throughout the evaluation period by the pmos transistor PM. As the CLK falls low, the circuit enters the precharge phase and node X is pulled high through PM0, switching the state of INV-2. During this period node X2 is not actively driven by any transistor, it stores the charge dynamically. The outputs at node QB and maintain their voltage levels through INV-4. The setup time and hold time of a flip-flop refers to the minimum time period before and after the CLK edge, respectively where the data should be stable so that proper sampling is possible. Here setup time and the hold time depend on the CLK overlap period. If VM is the switching threshold of the inverter pair INV-2 and Tvm is the time required to discharge node X to VM, the hold time required by the flipflop can be expressed as where Tov is the overlap period defined by the low to high transitionn of the CLK and high to low transition of CLKB. It should be greater than Tvm for the proper functioning of the flip-flop Thold and Thold0 represent the hold-time required for sampling a one and a zero, respectively. Also note that Thold and Thold0, respectively are the maximum time period after the CLK transition such that the flip-flop samples a zero and a one, respectively. Since CLKB is high prior to the low to high transition of the CLK, when D is high, the parasitic diffusion capacitors at the drain of NM and NM2 are predischarged, resulting in a low Tvm. Now the overlap period can be chosen such that Thold and Thold0 in () and (2), respectively, are minimized. Tov can be adjusted by setting proper size for the transistors in INV5 as specified in [5]. This leads to a small negative setup time and a positive hold time close to zero. Fig. (a) shows hold time for sampling zero, where D is held low for time-period slightly greater than Tov Tvm after the positive CLK edge. This causes node X to discharge to a voltage greater than VM and INV-2 restores the high levell leading to a proper latching of zero. A similar case for sampling one is shown in Fig. (b). Here, since D is held high for a time-period equal to Tvm, node X properly discharges and one is latched. We measured Tvm to be 8 ps in the pre-layout analysis, where only the frontend of the flipflop was simulated with proper load, and an overlap period of 50 ps was chosen. The pre- at 27 C and.2 V supply layout simulation of the flip-flop voltage measures Thold0 to be 0 ps and Thold to be 5 ps. The slight variation of the results from that of () and (2) is due to the nonzero slopes of CLK and data signals. 4.DDFF-ELM:- Various functions have been embedded into the proposed design to analyze the performance of the structure in terms of power and speed. Since SDFF is considered to be the benchmark of comparison, it was also simulated under similar conditions when embedded with the same functions. SDFF has a fast non inverting output and a slow inverting output, whereas the proposed design has a fast inverting output and a slow non inverting output. In order to have a fair comparison of delay, inverting and non inverting outputs, respectively were considered for SDFF and the proposed design. AND, OR functions and a two-input multiplexer implementing the function A.SELA + B.SELB were embedded into both the designs by replacing the DDFF-ELM performs the function of a flip-flop when no logic is embedded, its performance as a flip-flop is compared with other flip-flops along with DDFF. DDFF-ELM in all the above designs was designed using three inverters for generating sampling window so as to obtain the worst case timing results of the design. In order to depict the advantages of embedding logic in to the flip-flop, the combinations of static logic and flip-flop, performing the same functions, were also designed. The performance of this discrete combination is also provided and compared with the embedded functions. Fig.4.DDFF-ELM In order to magnify the performance improvement of the embedded logic element, a 4-b Johnson up-down counter with asynchronous reset (Fig.4(a) ) has been designed. The counter is designed with a set of 2-input multiplexers and flip- the discrete combination of flops. In the embedded structure, multiplexer and flip-flop is replaced by a multiplexer embedded flip-flop. The ELM and the SDFF with embedded logic were incorporated with asynchronous-reset (rst_n) functionality as explained in Section IV. 2

4 approach is to increase the clock frequency. However, using high clock frequency has many disadvantages. Power consumption of the clock system increases dramatically and clock uncertainties take significant part of the clock cycle at high frequencies. Moreover the non-ideal clock distribution results in degradation of the clock waveform, power supply noise and cross-talk. About 0%-70% of the total power in the system is dissipated due to clocking network, and the Flip-Flops []. An Fig.4(a). 4-b Johnson up-down counter. alternative clocking approach is based on the use of storage elements which are capable of capturing data on both rising and falling edges of the clock. Such storage elements are termed as Dual-Edge Triggered Flip-Flops (DETFFs). The conventional DETFF circuits and a new proposed architecture of DETFF. the nominal simulation conditions, along with analysis and optimization performed, during simulation. The performance results for proposed design. These results are compared with conventional designs in terms of delay, power, PDP and area and ends with conclusion. ELM:- Fig.4(b). Simulation test bench. As mentioned earlier, the major advantage of the SDFF is the capability to incorporate complex logic functions efficiently. The efficiency in terms of speed and area comes from the fact that an N-input function can be realized in a positive edge triggered structure using a pull-down network (PDN) consisting of N transistors as shown in Fig. 9(a). Compared to the discrete combination of N a static gate and a flip-flop, this embedded structure offers a very fast and small implementation. Although SDFF is capable of offering efficiency in terms of speed and area, it is not a good solution as far as power consumption is concerned. Not too many attempts have been made to design a flip-flop, which can incorporate logic efficiently in terms of power, speed and area. The double-pulsed set-conditional-reset flip-flop (DPSCRFF) [5] is one of the flip-flops capable of incorporating logic. But this structure has an explicit pulse generator to generate two pulses from the global CLK, which can cause large power consumption even when there is no data transition. Also, the three inverter delay between the two pulses, p and p2 [5], causes a direct path between supply rails and a large glitch at the output when the data input remains high for more than one CLK cycle. In addition, the highly asymmetric timing nature of the design and the large hold time requirements prevent it from being directly cascaded without the use of additional buffers. Another flip-flop design aiming at efficient logic embedding is presented in [6] and [7]. But the overlap based logic cell introduced in [7] is similar to the single-phase pulsed flip-flop mentioned in [2]. Since SDFF is proved [2] to outperform this design, we consider SDFFF with emb edded logic for comparative purposes. 5.PROPOSED MDETFF:- Flip-Flops are important timing elements in digital circuits which have a great impact on circuit power consumption and speed. The performance of the Flip-Flop is an important element to determine the performance of the whole synchronous circuit, particularly in deeper pipelined design. For improving the performancee one innovating Modified Double-Edge Triggered Flip-Flop:- In some of the designs DETFF approach is preferred to reduce power dissipation. The data is captured by both edges of the clock. Both positive and negative edges are used to sample the D input at alternate clock edges, and the appropriate sample is selected for the Q output. Fig.5.Proposed MDETFF All simulations are performed on TANNER circuit simulator models at 80nm technology node. Flip-Flops designs can be compared at different parameters like delay, average power dissipation, power delay product (PDP) etc. Generally, for portable systems in which the battery life is of the primary concern PDP-based comparison is appropriate [8]. However, there is always a trade off between propagation delay and power dissipation of a circuit. If we optimize a circuit for power its delay increases and vice-versa. We designed the circuit to achieve minimum power-delay product (PDP). In addition to this delay, power consumption and area of the Flip-Flop designs are also compared. How to sample and store the input data at both clock levels? We consider two related problems. The first problem is to restructure the flip-flop so as to sample and store the input data at both of edge. The second problem is to use the traditional single-edge-triggered (SET) flip-flop (for example, sensitive to clock s falling edge) to compose a new storage 22

5 system, which can sample the input data on the clock s rising edge as well as its falling edge. 6.SIMULATION RESULTS The proposed DETFF is designed and compared with several conventional Flip-Flops. Each Flip-Flofor power delay product. The proposed DETFF is having is optimized lesser number of clocked transistors than the other discussed DET FFs. Simulation results for power, delay, PDP and area at nominal conditions for the Flip-Flops are summarized in Table. SIMULATION OUTPUT: ISCAS IEEE International Symposium on, vol.5, no., pp.v-05,v-08 vol.5, [2]Phyu, M.-W.; Goh, W.L.; Yeo, K.-S., "A low-power static dual edge-triggered flip-flopp using an output-controlled discharge configuration," Circuits and Systems, ISCAS IEEE International Symposium on, vol., no., pp.2429,242 Vol., 2-26 May []M. AFGHAHI AND J. YUAN, DOUBLE EDGE-TRIGGERED D- FLIP-FLOPS FOR HIGHSPEED CIRCUITS, IEEE J. SOLID-STATE CIRCUITS, VOL.26, NO.8, PP.68-70, AUG. 99. Fig.6.Waveform RESULT COMPARISON:- Table.. FLIP FLOP MIN POW ER(m illi.w) HLFF.84 SDFF DDFF DDFF ELM 2 MDET 4.2 FF 5 MAX POWE R(w) AVG POW ER(w ) PDP( milli. w/s) ARE A(um ^2) CONCLUSION:- In this paper, we proposed a low power, small area MDETFF design which is static & dynamic in nature. The proposed MDETFF has lesser number of clocked transistors with respect to other DETFF. The post layout experimental simulation results shows that proposed MDETFF offers improvement in power dissipation, PDP and area. Therefore the proposed MDETFF is very well suited for low power and small area applications. REFERENCES:- [] Nedovic, Nikola; Aleksic, M.; Oklobdzija, V.G., "Comparative analysis of double-edge versus single-edge triggered clocked storage elements," Circuits and Systems, 2

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP R.Ramya 1, P.Pavithra 2, T. Marutharaj 3 1, 2 PG Scholar, 3 Assistant Professor Theni Kammavar Sangam College of Technology, Theni, Tamil

More information

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I. Low Power Dual Dynamic Node Pulsed Hybrid Flip-Flop Using Power Gating Techniques [1] Shaik Abdul Khadar, [2] P.Hareesh, [1] PG scholar VLSI Design Dept of E.C.E., Sir C R Reddy College of Engineering

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 4, April 2015,

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331 PP 58-64 www.iosrjournals.org Design and Analysis of Semi-Transparent Flip-Flops for high speed and

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme Ch.Sreedhar 1, K Mariya Priyadarshini 2. Abstract: Flip-flops are the basic storage elements used extensively

More information

EE-382M VLSI II FLIP-FLOPS

EE-382M VLSI II FLIP-FLOPS EE-382M VLSI II FLIP-FLOPS Gian Gerosa, Intel Fall 2008 EE 382M Class Notes Page # 1 / 31 OUTLINE Trends LATCH Operation FLOP Timing Diagrams & Characterization Transfer-Gate Master-Slave FLIP-FLOP Merged

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY 1 M.SRINIVAS, 2 K.BABULU 1 Project Associate JNTUK, 2 Professor of ECE Dept. JNTUK Email: srinivas.mattaparti@gmail.com,

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique NAVEENASINDHU P 1, MANIKANDAN N 2 1 M.E VLSI Design, TRP Engineering College (SRM GROUP), Tiruchirappalli 621 105, India,2,

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

Pulsed Flip-Flop with Dual Dynamic Node for Low Power using Embedded Logic

Pulsed Flip-Flop with Dual Dynamic Node for Low Power using Embedded Logic IJCTA, 0(0), 07, pp. 357-37 International Science Press Closed Loop Control of Soft Switched Forward Converter Using Intelligent Controller 357 Pulsed Flip-Flop with ual ynamic Node for Low Power using

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique Sanjay Singh, S.K. Singh, Mahesh Kumar Singh, Raj Kumar Sagar Abstract As the density and operating speed of CMOS VLSI

More information

EFFICIENT TIMING ELEMENT DESIGN FEATURING LOW POWER VLSI APPLICATIONS

EFFICIENT TIMING ELEMENT DESIGN FEATURING LOW POWER VLSI APPLICATIONS EFFICIENT TIMING ELEMENT DESIGN FEATURING LOW POWER VLSI APPLICATIONS P.Nagarajan 1, T.Kavitha 2, S.Shiyamala 3 1,2,3 Associate Professor, ECE Department, School of Electrical and Computing Vel Tech University,

More information

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating Research Journal of Applied Sciences, Engineering and Technology 7(16): 3312-3319, 2014 DOI:10.19026/rjaset.7.676 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Akhilesh Tiwari1 and Shyam Akashe2 1Research Scholar, ITM University, Gwalior, India antrixman75@gmail.com 2Associate

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology 1 S.MANIKANTA, PG Scholar in VLSI System Design, 2 A.M. GUNA SEKHAR Assoc. Professor, HOD,

More information

Analysis of Low Power Dual Dynamic Node Hybrid Flip-Flop

Analysis of Low Power Dual Dynamic Node Hybrid Flip-Flop Analysis of Low Power Dual Dynamic Node Hybrid Flip-Flop R.Vinoth, M.Balaji, R.Nivethitha, S.Shobana, R.Srinivasan Department of ECE, Anna University, Chennai Abstract Flip-flops are critical timing elements

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

Minimization of Power for the Design of an Optimal Flip Flop

Minimization of Power for the Design of an Optimal Flip Flop Minimization of Power for the Design of an Optimal Flip Flop Kahkashan Ali #1, Tarana Afrin Chandel #2 #1 M.TECH Student, #2 Associate Professor, 1,2 Department of ECE, Integral University, Lucknow, INDIA

More information

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 31-36 Power Optimization Techniques for Sequential Elements Using Pulse

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential Circuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking Clocked inverters James Morizio 1 Sequential Logic FFs

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Dual Dynamic Node Flip-Flop Design with an Embedded Logic Design

Dual Dynamic Node Flip-Flop Design with an Embedded Logic Design Dual Dynamic Node Flip-Flop Design with an Embedded Logic Design 1 A.Ramakrishna, 2 K.Sarada. 1 PG-Student, VLSI, Department of ECE, Narayana Engineering College, Nellore, Andhrapradesh, India 2 Associate

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Sequential Logic. References:

Sequential Logic. References: Sequential Logic Reerences: Adapted rom: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles o CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory EE241 - Spring 2008 Advanced Digital Integrated Circuits Lecture 26: Multipliers Latches Announcements Homework 5 Due today Wrapping-up the class: Final presentations May 8, 1-5pm, BWRC Final reports due

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE Keerthana S Assistant Professor, Department of Electronics and Telecommunication Engineering Karpagam College of Engineering

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

Embedded Logic Flip-Flops: A Conceptual Review

Embedded Logic Flip-Flops: A Conceptual Review Volume-6, Issue-1, January-February-2016 International Journal of Engineering and Management Research Page Number: 577-581 Embedded Logic Flip-Flops: A Conceptual Review Sudhanshu Janwadkar 1, Dr. Mahesh

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

Implementation of Counter Using Low Power Overlap Based Pulsed Flip Flop

Implementation of Counter Using Low Power Overlap Based Pulsed Flip Flop Implementation of Counter Using Low Power Overlap Based Pulsed Flip Flop P. Naveen Kumar Department of ECE, Swarnandhra College of Engineering & Technology, A.P, India. R. Murali Krishna Department of

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

Design of Low Power Universal Shift Register

Design of Low Power Universal Shift Register Design of Low Power Universal Shift Register 1 Saranya.M, 2 V.Vijayakumar, 3 T.Ravi, 4 V.Kannan 1 M.Tech-VLSI design, Sathyabama University, Jeppiaar Nagar, Rajiv Gandhi Salai, Chennai 119 2 Assistant

More information

An efficient Sense amplifier based Flip-Flop design

An efficient Sense amplifier based Flip-Flop design An efficient Sense amplifier based Flip-Flop design Rajendra Prasad and Narayan Krishan Vyas Abstract An efficient approach for sense amplifier based flip-flop design has been introduced in this paper.

More information

Topic 8. Sequential Circuits 1

Topic 8. Sequential Circuits 1 Topic 8 Sequential Circuits 1 Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Rabaey Chapter 7 URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk 1 Based on

More information

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register Design of Low Power and Area Efficient Pulsed Latch Based Shift Register 1 ANUSHA KORE, 2 Dr. S.A.MUZEER Department of ECE Megha Institute of Engineering & Technology For women s Edulabad, Ghatkesar mandal,

More information

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 54-64 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of low power 4-bit shift registers using conditionally

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Lecture 21: Sequential Circuits. Review: Timing Definitions

Lecture 21: Sequential Circuits. Review: Timing Definitions Lecture 21: Sequential Circuits Setup and Hold time MS FF Power PC Pulsed FF HLFF, SFF, SAFF Source: Ch 7 J. Rabaey notes, Weste and Harris Notes Review: Timing efinitions T C : Propagation elay from Ck

More information

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique Don P John (School of Electrical Sciences, Karunya University, Coimbatore ABSTRACT Frequency synthesizer is one of the important element for

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Design of Shift Register Using Pulse Triggered Flip Flop

Design of Shift Register Using Pulse Triggered Flip Flop Design of Shift Register Using Pulse Triggered Flip Flop Kuchanpally Mounika M.Tech [VLSI], CMR Institute of Technology, Kandlakoya, Medchal, Hyderabad, India. G.Archana Devi Assistant Professor, CMR Institute

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs ECEN454 igital Integrated Circuit esign Sequential Circuits ECEN 454 Combinational logic Sequencing Output depends on current inputs Sequential logic Output depends on current and previous inputs Requires

More information

A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems

A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems Vladimir Stojanovic University of Belgrade, Yugoslavia Bulevar Revolucije 73.Beograd, Yugoslavia +38 3 336 sv793d@kiklop.etf.bg.ac.yu

More information

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications ¹GABARIYALA SABADINI C ²Dr. P. MANIRAJ KUMAR ³Dr. P.NAGARAJAN 1. PG scholar, VLSI design, Department

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME

LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME Juhi Rastogi 1, Vipul Bhatnagar 2 1,2 Department of Electronics and Communication, Inderprastha Enginering College, Ghaziabad (India)

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July-2013 2413 Design of Low Power Clock Gated Sense Amplifier Flip Flop With SVL Circuit P. Sathees Kumar 1, Prof. R. Jagadeesan

More information

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. I (Sep.- Oct. 2017), PP 85-92 www.iosrjournals.org Dual Edge Triggered

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements Available online at: http://www.ijmtst.com/ncceeses2017.html Special Issue from 2 nd National Conference on Computing, Electrical, Electronics and Sustainable Energy Systems, 6 th 7 th July 2017, Rajahmundry,

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

Design of an Efficient Low Power Multi Modulus Prescaler

Design of an Efficient Low Power Multi Modulus Prescaler International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 6, Issue 3 (March 2013), PP. 15-22 Design of an Efficient Low Power Multi Modulus

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the 2 nd International Conference on Current Trends in Engineering and Management ICCTEM -2014 ISSN

More information

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

I. INTRODUCTION. Figure 1: Explicit Data Close to Output Low Power Shift Register Design Based on a Signal Feed Through Scheme 1 Mr. G Ayappan and 2 Ms.P Vinothini, 1 Assistant Professor (Senior Grade), 2 PG scholar, 1,2 Department of Electronics and Communication,

More information

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique 1 Inder Singh, 2 Vinay Kumar 1 M.tech Scholar, 2Assistant Professor (ECE) 1 VLSI Design,

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information