Design and Implementation of an AHB VGA Peripheral

Size: px
Start display at page:

Download "Design and Implementation of an AHB VGA Peripheral"

Transcription

1 Design and Implementation of an AHB VGA Peripheral 1

2 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System on Chip ARM Cortex-M0 Processor 32-bit Data bus Control signals 32-bit Address bus ARM AMBA 3 AHB-Lite System Bus SRAM controller VGA Peripheral Off-chip SRAM memory Monitor 2

3 Module Syllabus VGA Overview VGA Interface VGA Timing AHB VGA Hardware Implementation VGA Image Buffer VGA Console Lab Practice 3

4 VGA Overview 4

5 VGA Overview Video Graphics Array (VGA) connector Five analog components Blue, green, red Horizontal and vertical synchronization Designed in 1987, still used nowadays, but most of them are superseded by Digital Visual Interface (DVI) and High-Definition Multimedia Interface (HDMI). Blue Green Red Horizontal Sync Vertical Sync 5

6 How VGA Signals Work CRT monitors display images on a phosphor-coated screen using amplitude-modulated moving electron beams. Beams horizontally move from left to right, and vertically from top to bottom. As the beam moves over the whole screen, the colour information of the pixel that currently being scanned is given from the VGA cable. The horizontal synchronization is used to reset the beam to the start of the next line. The vertical synchronization is used to start the next frame. The monitor will adjust its scanning frequency and screen resolution according to the synchronization signal given from the user. 6

7 How VGA Signals Work During the time when the beam is resetting to the beginning of next line (or the next frame), the colour information will not be used, and this particular region is known as front/ back porch. Despite from different displaying technologies, such as CRT or LCD, most of the monitors can provide a standard interface, such as VGA or DVI. The VGA timings information for different resolutions is specified and published by the VESA organization. 7

8 VGA Interface The digital outputs from the FPGA can be converted to analog and connected to the VGA connector using resistor-divider circuits, For example, the Digilent Nexys3 board uses 10 signals, including 8-bit colour and two standard sync signals, thus 256 colour levels can be presented. The following slides give an example timing for a resolution. RED0 RED1 RED2 GREEN0 GREEN1 GREEN2 FPGA BLUE0 BLUE1 HSYNC VSYNC 2KΩ 1KΩ 510Ω 2KΩ 1KΩ 510Ω 1KΩ 510Ω 100Ω 100Ω RED GREEN BLUE HS VS VGA connector VGA cable 8

9 pixels VGA Timing 800 Vertical front porch Set colour to black (8h00) Vertical sync, give a zero pulse to start a new frame 640 pixels Beam automatically scans from left to right, then goes to the next line Next line Display region Horizontal sync, give a zero pulse to start the next line Vertical back porch Set colour to black (8h00) Set colour to your expected value while beam is in this region Horizontal front porch Set colour to black (8h00) Horizontal back porch Set colour to black (8h00) 9

10 VGA Timing VGA timing for 640 x 480 resolution; 25MHz clock frequency; Parameters can be found inside the code. Hsync Pulse width Horizontal front porch Display region Horizontal back porch Pulse width Horizontal front porch Vsync Vertical pulse width After every 600 lines Colour 0 0 c1 c2 c639 c640 10

11 AHB VGA Hardware Implementation 11

12 Hardware Implementation In our proposed hardware implementation, the full region of the screen is divided to two sub-regions: Text region (console) -- display text strings in a relatively high resolution; Image region (frame buffer) display a desired image in a lower resolution; Ideally, all the pixels information is stored in one frame buffer. However, since the on-chip memory is not sufficient, the resolution of the frame is reduced. Hence, to still display clear texts at the same time, the text region is separated, where the dynamic hardware logic is used instead of the frame buffer. Text region Image region 12

13 Mux Hardware Interconnection The VGA peripheral can display texts and images on a monitor through a VGA cable. The VGA peripheral consists of 3 components: a VGA interface, an image buffer for displaying image, and a text console module for displaying texts. Data Memory address Memory data Write enable Image buffer Image colour Address x Address y HS Addr AHB interface 8 VGA interface 8 8 Colour Control Text data (ASCii) Write enable Text console Text colour Console region or image region VS 13

14 Hardware Implementation VGA interface Generates synchronization signals to the VGA port; Colour information of all pixels are stored in memory Is directly connected to external pins of the VGA port; Outputs the address of the current pixel; Image buffer Stores the colour information of all pixels in the image region; Is implemented on a dual-port memory; Text console Text region Monitor Image region Displays texts in the text region. Is implemented on hardware logics. Colour information is generated using hardware logic 14

15 VGA Interface VGA signals Name vga_red[2:0] vga_green[2:0] vga_blue[1:0] hsync vsync Description 3-bit red signal 3-bit green signal 2-bit blue signal (less sensitive to eyes) Horizontal synchronization signal, one pulse indicates the start of the next line Vertical synchronization signal, one pulse indicates the start of the next frame 15

16 VGA Image Buffer The image buffer stores the RGB information for all the pixels in the image region. The buffer is implemented on a dual-port RAM, which allows pixels to be modified at the same time the VGA interface is reading the pixel. Some chips do not have a large on-chip memory, such as on-chip SRAM. In such a case, the resolution can be reduced by mapping multiple pixels to a single data in the memory. For example, a 4x4 pixel region can be presented by one single data in the image buffer. Used for changing image Connected to VGA interface Write address 15 Write data 8 Write enable Read address 15 Read data Image buffer x4 pixel region is presented by one single data (1 Byte) in the image buffer Image region 400x480 pixels VGA monitor

17 VGA Console The VGA console module is used to generate the colour information for pixels in the text region. The colour information is generated dynamically using hardware logics, rather than storing every single pixel in a memory, whereby the valuable on-chip memory can be saved. 17

18 Memory Space The memory space is allocated as follow: Peripheral Base address End address Size MEM 0x0000_0000 0x4FFF_FFFF 167MB VGA 0x5000_0000 0x50FF_FFFF 16MB 18

19 Memory Space The internal memory space of VGA is divided into two regions Console text: 1 word (4 byte) space to print a character Image buffer: the rest of the space is used to store pixels in the image region. Register Base address End address Size Console text 0x5000_0000 0x5000_ Byte Image buffer 0x5000_0004 0x50FF_FFFF Byte 19

20 Lab Practice 20

21 Lab Practice Hardware design Design and implement the peripheral (VGA peripheral) in hardware using Verilog; Software programming Test the peripheral using Cortex-M0 processor programed in assembly language; System demonstration Display text strings and images on the monitor. 21

22 Useful Resources Reference1 Nexys3 Reference Manual: 22

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

Design and Implementation of Timer, GPIO, and 7-segment Peripherals Design and Implementation of Timer, GPIO, and 7-segment Peripherals 1 Module Overview Learn about timers, GPIO and 7-segment display; Design and implement an AHB timer, a GPIO peripheral, and a 7-segment

More information

Lab # 9 VGA Controller

Lab # 9 VGA Controller Lab # 9 VGA Controller Introduction VGA Controller is used to control a monitor (PC monitor) and has a simple protocol as we will see in this lab. Kit parts for this lab 1 A closer look VGA Basics The

More information

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11)

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11) Chapter 5 VGA Port The Spartan-3 Starter Kit board includes a VGA display port and DB15 connector, indicated as 5 in Figure 1-2. Connect this port directly to most PC monitors or flat-panel LCD displays

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005 Lancelot VGA video controller for the Altera Nios II processor. V4.0 December 16th, 2005 http://www.microtronix.com 1. Description Lancelot is a VGA video controller for the Altera Nios (II) processor.

More information

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline EECS150 - Digital Design Lecture 12 - Video Interfacing Oct. 8, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube Display Technology Images stolen from various locations on the web... Cathode Ray Tube 1 Cathode Ray Tube Raster Scanning 2 Electron Gun Beam Steering Coils 3 Color Shadow Mask and Aperture Grille 4 Liquid

More information

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube Display Technology Images stolen from various locations on the web... Cathode Ray Tube Cathode Ray Tube Raster Scanning Electron Gun Beam Steering Coils Color Shadow Mask and Aperture Grille Liquid Crystal

More information

Design of VGA and Implementing On FPGA

Design of VGA and Implementing On FPGA Design of VGA and Implementing On FPGA Mr. Rachit Chandrakant Gujarathi Department of Electronics and Electrical Engineering California State University, Sacramento Sacramento, California, United States

More information

Display Technology.! Images stolen from various locations on the web... Cathode Ray Tube

Display Technology.! Images stolen from various locations on the web... Cathode Ray Tube Display Technology! Images stolen from various locations on the web... Cathode Ray Tube 1 Cathode Ray Tube Raster Scanning 2 Electron Gun Beam Steering Coils 3 Color Shadow Mask and Aperture Grille 4 Liquid

More information

An Efficient SOC approach to Design CRT controller on CPLD s

An Efficient SOC approach to Design CRT controller on CPLD s A Monthly Peer Reviewed Open Access International e-journal An Efficient SOC approach to Design CRT controller on CPLD s Abstract: Sudheer Kumar Marsakatla M.tech Student, Department of ECE, ACE Engineering

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

GENERAL RULES FOR EE314 PROJECTS

GENERAL RULES FOR EE314 PROJECTS GENERAL RULES FOR EE314 PROJECTS Followings are the important points about projects: This year we are offering 5 projects. Please note that during weekends, laboratory will be closed. In order to work

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging Compatible Windows Software GLOBAL LAB Image/2 DT Vision Foundry DT3162 Variable-Scan Monochrome Frame Grabber for the PCI Bus Key Features High-speed acquisition up to 40 MHz pixel acquire rate allows

More information

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface

More information

Revision: August 11, E Main Suite D Pullman, WA (509) Voice and Fax. 8 LEDs. Doc: page 1 of 9

Revision: August 11, E Main Suite D Pullman, WA (509) Voice and Fax. 8 LEDs. Doc: page 1 of 9 Digilent DIO4 Peripheral Board Reference Manual www.digilentinc.com Revision: August 11, 2004 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The DIO4 circuit board provides

More information

7inch Resistive Touch LCD User Manual

7inch Resistive Touch LCD User Manual 7inch Resistive Touch LCD User Manual Chinese website: www.waveshare.net English website: www.wvshare.com Data download: www.waveshare.net/wiki Shenzhen Waveshare Electronics Ltd. Co. 1 Contents 1. Overview...

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

Display Technology. Cathode Ray Tube. Images stolen from various locations on the web...

Display Technology. Cathode Ray Tube. Images stolen from various locations on the web... Display Technology Cathode Ray Tube Images stolen from various locations on the web... Cathode Ray Tube Raster Scanning Electron Gun Beam Steering Coils 1 Color Shadow Mask and Aperture Grille Liquid Crystal

More information

EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? Project Overview

EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? Project Overview EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? March 3, 2009 John Wawrzynek Spring 2009 EECS150 - Lec13-proj3 Page 1 Project Overview A. MIPS150 pipeline structure B. Memories, project

More information

Lecture 14: Computer Peripherals

Lecture 14: Computer Peripherals Lecture 14: Computer Peripherals The last homework and lab for the course will involve using programmable logic to make interesting things happen on a computer monitor should be even more fun than the

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Video Graphics Array (VGA)

Video Graphics Array (VGA) Video Graphics Array (VGA) Chris Knebel Ian Kaneshiro Josh Knebel Nathan Riopelle Image Source: Google Images 1 Contents History Design goals Evolution The protocol Signals Timing Voltages Our implementation

More information

Lab 6: Video Game PONG

Lab 6: Video Game PONG CpE 487 Digital Design Lab Lab 6: Video Game PONG 1. Introduction In this lab, we will extend the FPGA code we developed in Labs 3 and 4 (Bouncing Ball) to build a simple version of the 1970 s arcade game

More information

Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are

Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are 2 Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are seeing the development of new connection methods within

More information

Week 5 Dr. David Ward Hybrid Embedded Systems

Week 5 Dr. David Ward Hybrid Embedded Systems Week 5 Dr. David Ward Hybrid Embedded Systems Today s Agenda Discuss Homework and Labs HW #2 due September 24 (this Friday by midnight) Don t start Lab # 5 until next week Work on HW #2 in today s lab

More information

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, 2012 Fig. 1. VGA Controller Components 1 VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

L14 - Video. L14: Spring 2005 Introductory Digital Systems Laboratory

L14 - Video. L14: Spring 2005 Introductory Digital Systems Laboratory L14 - Video Slides 2-10 courtesy of Tayo Akinwande Take the graduate course, 6.973 consult Prof. Akinwande Some modifications of these slides by D. E. Troxel 1 How Do Displays Work? Electronic display

More information

Pivoting Object Tracking System

Pivoting Object Tracking System Pivoting Object Tracking System [CSEE 4840 Project Design - March 2009] Damian Ancukiewicz Applied Physics and Applied Mathematics Department da2260@columbia.edu Jinglin Shen Electrical Engineering Department

More information

An FPGA Based Solution for Testing Legacy Video Displays

An FPGA Based Solution for Testing Legacy Video Displays An FPGA Based Solution for Testing Legacy Video Displays Dale Johnson Geotest Marvin Test Systems Abstract The need to support discrete transistor-based electronics, TTL, CMOS and other technologies developed

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB3 CCIR 656 Encoder General Description The Digital Blocks DB3 CCIR 656 Encoder IP Core encodes 4:2:2 Y CbCr component digital video with synchronization signals to conform

More information

Installation and users Manual

Installation and users Manual Installation and users Manual DVI-D (HDCP) Interface board (IFB) for SONY Video Projectors This Interface board will add a DVI-D (HDCP) input to any Sony CRT projectors including : VPH-1251, VPH-1252,

More information

FPGA 设计实例 基于 FPGA 的图形液晶显示面板应用. Graphic LCD panel. FPGAs make great video controllers and can easily control graphic LCD panels.

FPGA 设计实例 基于 FPGA 的图形液晶显示面板应用. Graphic LCD panel. FPGAs make great video controllers and can easily control graphic LCD panels. FPGA 设计实例 基于 FPGA 的图形液晶显示面板应用 Graphic LCD panel FPGAs make great video controllers and can easily control graphic LCD panels. This project is split in 4 parts: 1. Introduction 2. Video generator 3. Graphics

More information

IMS B007 A transputer based graphics board

IMS B007 A transputer based graphics board IMS B007 A transputer based graphics board INMOS Technical Note 12 Ray McConnell April 1987 72-TCH-012-01 You may not: 1. Modify the Materials or use them for any commercial purpose, or any public display,

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks core is a full function equivalent to the Motorola MC6845 device. The interfaces a microprocessor to a raster-scan CRT display. The

More information

i.mx RT elcdif RGB Mode Use Case

i.mx RT elcdif RGB Mode Use Case NXP Semiconductors Document Number: AN12302 Application Note Rev. 0, 12/2018 i.mx RT elcdif RGB Mode Use Case 1. Introduction The enhanced Liquid Crystal Display Interface (elcdif) is a general display

More information

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4) ECE 574: Modeling and synthesis of digital systems using Verilog and VHDL Fall Semester 2017 Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and

More information

VGA 8-bit VGA Controller

VGA 8-bit VGA Controller Summary This document provides detailed reference information with respect to the VGA Controller peripheral device. Core Reference CR0113 (v3.0) March 13, 2008 The VGA Controller provides a simple, 8-bit

More information

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras Group #4 Prof: Chow, Paul Student 1: Robert An Student 2: Kai Chun Chou Student 3: Mark Sikora April 10 th, 2015 Final

More information

DiD. LCD Video Monitor & Video Wall Universal User Manual. Digital Information Display

DiD. LCD Video Monitor & Video Wall Universal User Manual. Digital Information Display LCD Video Monitor & Video Wall Universal User Manual DiD Digital Information Display Video Monitor Models M82S1/M70S1/M65S1/M55S1/M46S1/M40S1/M32S1/M24S1/M19S2/M19S1 Video Wall Models PD55N3/PD46N4/PD46N3/PD46N2/PD40N2

More information

Module 7. Video and Purchasing Components

Module 7. Video and Purchasing Components Module 7 Video and Purchasing Components Objectives 1. PC Hardware A.1.11 Evaluate video components and standards B.1.10 Evaluate monitors C.1.9 Evaluate and select appropriate components for a custom

More information

VIDEO 101 LCD MONITOR OVERVIEW

VIDEO 101 LCD MONITOR OVERVIEW VIDEO 101 LCD MONITOR OVERVIEW This provides an overview of the monitor nomenclature and specifications as they relate to TRU-Vu industrial monitors. This is an ever changing industry and as such all specifications

More information

A+ Certification Guide. Chapter 7 Video

A+ Certification Guide. Chapter 7 Video A+ Certification Guide Chapter 7 Video Chapter 7 Objectives Video (Graphics) Cards Types and Installation: Describe the different types of video cards, including PCI, AGP, and PCIe, and the methods of

More information

Video Display Unit (VDU)

Video Display Unit (VDU) Video Display Unit (VDU) Historically derived from Cathode Ray Tube (CRT) technology Based on scan lines Horizontal flyback Vertical flyback Blank Active video Blank (vertical flyback takes several line

More information

Checkpoint 2 Video Encoder

Checkpoint 2 Video Encoder UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE ASSIGNED: Week of 3/7 DUE: Week of 3/14, 10 minutes after start (xx:20) of your assigned

More information

Section 4. Display Connector

Section 4. Display Connector Section 4. Display Connector Display Connector Introduction.................. 4-2 Signal Timing........................... 4-3 VGA Mode Display Timing.................. 4-4 Extended Graphics Mode Display

More information

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018 Petter Källström, Mario Garrido September 10, 2018 Abstract In the initialization of the DE2-115 (after you restart it), an image is copied into the SRAM memory. What you have to do in this lab is to read

More information

By Tom Kopin CTS, ISF-C KRAMER WHITE PAPER

By Tom Kopin CTS, ISF-C KRAMER WHITE PAPER Troubleshooting HDMI with 840Hxl By Tom Kopin CTS, ISF-C AUGUST 2012 KRAMER WHITE PAPER WWW.KRAMERELECTRONICS.COM TABLE OF CONTENTS overview...1 resolutions...1 HDCP...2 Color depth...2 color space...3

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks IP Core decodes an ITU-R BT.656 digital video uncompressed NTSC 720x486 (525/60 Video System) and PAL 720x576 (625/50 Video System)

More information

EDID HANDLING WITH ANALOG SIGNAL DISTRIBUTION

EDID HANDLING WITH ANALOG SIGNAL DISTRIBUTION HANDLING WITH ANALOG SIGNAL DISTRIBUTION By Chris Kopin CTS, ISF-C, Tom Kopin CTS, ISF-C, Matt Kopin CTS April 2010 KRAMER WHITE PAPER WWW.KRAMERELECTRONICS.COM TABLE OF CONTENTS INTRODUCTION...1 MAKE-UP

More information

TV Synchronism Generation with PIC Microcontroller

TV Synchronism Generation with PIC Microcontroller TV Synchronism Generation with PIC Microcontroller With the widespread conversion of the TV transmission and coding standards, from the early analog (NTSC, PAL, SECAM) systems to the modern digital formats

More information

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization ECE 448 Lecture 10 VGA Display Part 1 VGA Synchronization George Mason University Required Reading Old Edition of the Textbook 2008 (see Piazza) P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA

More information

Brief Description of Circuit Functions

Brief Description of Circuit Functions Exhibit 4 Brief Description of Circuit Functions Function Description for Hudson4 190P5 1. General 190P5 is the newest generation of Hudson 19 TFT Flat Panel Display Monitor. It designed with hyper integrity,

More information

Presented by: Amany Mohamed Yara Naguib May Mohamed Sara Mahmoud Maha Ali. Supervised by: Dr.Mohamed Abd El Ghany

Presented by: Amany Mohamed Yara Naguib May Mohamed Sara Mahmoud Maha Ali. Supervised by: Dr.Mohamed Abd El Ghany Presented by: Amany Mohamed Yara Naguib May Mohamed Sara Mahmoud Maha Ali Supervised by: Dr.Mohamed Abd El Ghany Analogue Terrestrial TV. No satellite Transmission Digital Satellite TV. Uses satellite

More information

EDA385 Bomberman. Fredrik Ahlberg Adam Johansson Magnus Hultin

EDA385 Bomberman. Fredrik Ahlberg Adam Johansson Magnus Hultin EDA385 Bomberman Fredrik Ahlberg ael09fah@student.lu.se Adam Johansson rys08ajo@student.lu.se Magnus Hultin ael08mhu@student.lu.se 2013-09-23 Abstract This report describes how a Super Nintendo Entertainment

More information

A Flexible FPGA communication

A Flexible FPGA communication A Flexible FPGA communication Shubha Hiremath 1, Meghana Kulkarni 2 1 MTech student, Department of VLSI Design and Embedded systems, VTU Belgavi, Karnataka, India 2 Associate Professor, Department of VLSI

More information

CH7021A SDTV / HDTV Encoder

CH7021A SDTV / HDTV Encoder Chrontel SDTV / HDTV Encoder Brief Datasheet Features VGA to SDTV/EDTV/HDTV conversion supporting graphics resolutions up to 1600x1200 HDTV support for 480p, 576p, 720p, 1080i and 1080p Support for NTSC,

More information

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley Group 1 C.J. Silver Geoff Jean Will Petty Cody Baxley Vision Modification System Image change functions Flip, Colorinversion, Heat Map Function control via UI controller Portable, helmet-mounted screen

More information

DLP Pico Chipset Interface Manual

DLP Pico Chipset Interface Manual Data Sheet TI DN 2510477 Rev A May 2009 DLP Pico Chipset Interface Manual Data Sheet TI DN 2510477 Rev A May 2009 IMPORTANT NOTICE BEFORE USING TECHNICAL INFORMATION, THE USER SHOULD CAREFULLY READ THE

More information

Chrontel CH7015 SDTV / HDTV Encoder

Chrontel CH7015 SDTV / HDTV Encoder Chrontel Preliminary Brief Datasheet Chrontel SDTV / HDTV Encoder Features 1.0 GENERAL DESCRIPTION VGA to SDTV conversion supporting graphics resolutions up to 104x768 Analog YPrPb or YCrCb outputs for

More information

SparkFun Camera Manual. P/N: Sense-CCAM

SparkFun Camera Manual. P/N: Sense-CCAM SparkFun Camera Manual P/N: Sense-CCAM Revision 0.1b, Aug 14, 2006 Overview The Spark Fun SENSE-CCAM camera is a 640x480 [vga resolution] camera with an 8 bit digital interface. The camera is based on

More information

USER MANUAL. FC-32 DVI to PC/Component Converter MODEL: P/N: Rev 5

USER MANUAL. FC-32 DVI to PC/Component Converter MODEL: P/N: Rev 5 KRAMER ELECTRONICS LTD. USER MANUAL MODEL: FC-32 DVI to PC/Component Converter P/N: 2900-000487 Rev 5 Contents 1 Introduction 1 2 Getting Started 2 2.1 Achieving the Best Performance 2 2.2 Safety Instructions

More information

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley Group 1 C.J. Silver Geoff Jean Will Petty Cody Baxley Vision Enhancement System 3 cameras Visible, IR, UV Image change functions Shift, Drunken Vision, Photo-negative, Spectrum Shift Function control via

More information

CS 4451A: Computer Graphics. Why Computer Graphics?

CS 4451A: Computer Graphics. Why Computer Graphics? CS 445A: Computer Graphics z CCB, TT 9:3- Why Computer Graphics? z Fun! z Lots of uses: y Art, entertainment y Visualizing complex data/ideas y Concise representation of actions/commands/state y Design/task

More information

HD66840/HD LVIC/LVIC-II (LCD Video Interface Controller) Description. Features

HD66840/HD LVIC/LVIC-II (LCD Video Interface Controller) Description. Features HD6684/HD6684 LVIC/LVIC-II (LCD Video Interface Controller) Description The HD6684/HD6684 LCD video interface controller (LVIC/LVIC-II) converts standard RGB video signals for CRT display into LCD data.

More information

4.3inch 480x272 Touch LCD (B) User Manual

4.3inch 480x272 Touch LCD (B) User Manual 4.3inch 480x272 Touch LCD (B) User Manual Chinese website: www.waveshare.net English Website: www.wvshare.com Data download: www.waveshare.net/wiki Shenzhen Waveshare Electronics Ltd. Co. 1 目录 1. Overview...

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

DT3130 Series for Machine Vision

DT3130 Series for Machine Vision Compatible Windows Software DT Vision Foundry GLOBAL LAB /2 DT3130 Series for Machine Vision Simultaneous Frame Grabber Boards for the Key Features Contains the functionality of up to three frame grabbers

More information

Hitachi Europe Ltd. ISSUE : app084/1.0 APPLICATION NOTE DATE : 28/04/99

Hitachi Europe Ltd. ISSUE : app084/1.0 APPLICATION NOTE DATE : 28/04/99 APPLICATION NOTE DATE : 28/04/99 Design Considerations when using a Hitachi Medium Resolution Dot Matrix Graphics LCD Introduction Hitachi produces a wide range of monochrome medium resolution dot matrix

More information

Video. Updated fir31.filtered on website Fall 2008 Lecture 12

Video. Updated fir31.filtered on website Fall 2008 Lecture 12 Video Generating video sync signals Decoding NTSC video -- color space conversions Generating pixels -- test patterns -- character display -- sprite-based games Lab #4 due Thursday, project teams next

More information

Lab Assignment 2 Simulation and Image Processing

Lab Assignment 2 Simulation and Image Processing INF5410 Spring 2011 Lab Assignment 2 Simulation and Image Processing Lab goals Implementation of bus functional model to test bus peripherals. Implementation of a simple video overlay module Implementation

More information

Chapter 1 HDMI-FMC Development Kit Chapter 2 Introduction of the HDMI-FMC Card Chapter 3 Using the HDMI-FMC Board...

Chapter 1 HDMI-FMC Development Kit Chapter 2 Introduction of the HDMI-FMC Card Chapter 3 Using the HDMI-FMC Board... Chapter 1 HDMI-FMC Development Kit... 2 1-1 Package Contents... 3 1-2 HDMI-FMC System CD... 3 1-3 Getting Help... 3 Chapter 2 Introduction of the HDMI-FMC Card... 4 2-1 Features... 5 2-2 Block Diagram

More information

VGA Configuration Algorithm using VHDL

VGA Configuration Algorithm using VHDL VGA Configuration Algorithm using VHDL 1 Christian Plaza, 2 Olga Ramos, 3 Dario Amaya Virtual Applications Group-GAV, Nueva Granada Military University UMNG Bogotá, Colombia. Abstract Nowadays it is important

More information

Part 1: Introduction to Computer Graphics

Part 1: Introduction to Computer Graphics Part 1: Introduction to Computer Graphics 1. Define computer graphics? The branch of science and technology concerned with methods and techniques for converting data to or from visual presentation using

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB1825 Color Space Converter & Chroma Resampler General Description The Digital Blocks DB1825 Color Space Converter & Chroma Resampler Verilog IP Core transforms 4:4:4 sampled

More information

Computer Graphics Hardware

Computer Graphics Hardware Computer Graphics Hardware Kenneth H. Carpenter Department of Electrical and Computer Engineering Kansas State University January 26, 2001 - February 5, 2004 1 The CRT display The most commonly used type

More information

AC334A. VGA-Video Ultimate BLACK BOX Remote Control. Back Panel View. Side View MOUSE DC IN BLACK BOX ZOOM/FREEZE POWER

AC334A. VGA-Video Ultimate BLACK BOX Remote Control. Back Panel View. Side View MOUSE DC IN BLACK BOX ZOOM/FREEZE POWER AC334A BLACK BOX 724-746-5500 VGA-Video Ultimate BLACK BOX 724-746-5500 Zoom Position PAL ZOOM/FREEZE POWER FREEZE ZOOM NTSC/PAL SIZE RESET POWER Size Power Remote Control DC IN MOUSE MIC IN AUDIO OUT

More information

CGA to EGA to VGA Converter (Multi) ID#425

CGA to EGA to VGA Converter (Multi) ID#425 CGA to EGA to VGA Converter (Multi) ID#425 Operation Manual Introduction The CGA to EGA to VGA Converter (Multi) is a device designed to convert any RGB monitor in a multi frequency monitor, by elaborating

More information

PC/HDTV to PC/HDTV converter (CP-251F)

PC/HDTV to PC/HDTV converter (CP-251F) PC/HDTV to PC/HDTV converter (CP-251F) Operation Manual This Converter has been especially modified to also accept RGsB Sync on Green Operation Controls and Functions Front Panel 1. Reset/ and +- The and

More information

2.4.1 Graphics. Graphics Principles: Example Screen Format IMAGE REPRESNTATION

2.4.1 Graphics. Graphics Principles: Example Screen Format IMAGE REPRESNTATION 2.4.1 Graphics software programs available for the creation of computer graphics. (word art, Objects, shapes, colors, 2D, 3d) IMAGE REPRESNTATION A computer s display screen can be considered as being

More information

Display Interfaces. Display solutions from Inforce. MIPI-DSI to Parallel RGB format

Display Interfaces. Display solutions from Inforce. MIPI-DSI to Parallel RGB format Display Interfaces Snapdragon processors natively support a few popular graphical displays like MIPI-DSI/LVDS and HDMI or a combination of these. HDMI displays that output any of the standard resolutions

More information

Part 1: Introduction to computer graphics 1. Describe Each of the following: a. Computer Graphics. b. Computer Graphics API. c. CG s can be used in

Part 1: Introduction to computer graphics 1. Describe Each of the following: a. Computer Graphics. b. Computer Graphics API. c. CG s can be used in Part 1: Introduction to computer graphics 1. Describe Each of the following: a. Computer Graphics. b. Computer Graphics API. c. CG s can be used in solving Problems. d. Graphics Pipeline. e. Video Memory.

More information

HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P]

HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P] HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P] Hardware specifications Rev. Net Vision Co., Ltd. SVO-03 U&P hardware specifications Revision history Revision Date Content Charge 1.0 2016/06/08 First edition

More information

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM A M S D E S I G N & V E R I F I C A T I O N W H I T E P A P

More information

4.3 8 bit TFT Digital Driver Board Specification

4.3 8 bit TFT Digital Driver Board Specification OZDISAN ELECTRONIC A.S. 4.3 8 bit TFT Digital Driver Board Specification TDDB-SSD-4.3-40P-8B-V4 Doc.Version : 1.0 OLAB Ozdisan Electronic R&D and Technical Support Department Email: ts@ozdisan.com Tel:

More information

Testing Results for a Video Poker System on a Chip

Testing Results for a Video Poker System on a Chip Testing Results for a Video Poker System on a Chip Preston Thomson and Travis Johnson Introduction- This report examines the results of a system on a chip SoC video poker system. The report will begin

More information

Monitor and Display Adapters UNIT 4

Monitor and Display Adapters UNIT 4 Monitor and Display Adapters UNIT 4 TOPIC TO BE COVERED: 4.1: video Basics(CRT Parameters) 4.2: VGA monitors 4.3: Digital Display Technology- Thin Film Displays, Liquid Crystal Displays, Plasma Displays

More information

StickIt! VGA Manual. How to install and use your new StickIt! VGA module

StickIt! VGA Manual. How to install and use your new StickIt! VGA module StickIt! VGA Manual How to install and use your new StickIt! VGA module XESS is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs

More information

A/D and D/A convertor 0(4) 24 ma DC, 16 bits

A/D and D/A convertor 0(4) 24 ma DC, 16 bits A/D and D/A convertor 0(4) 24 ma DC, 6 bits ZAT-DV The board contains independent isolated input A/D convertors for measurement of DC current signals 0(4) ma from technological convertors and sensors and

More information

OBSOLETE. CMOS 80 MHz Monolithic (18) Color Palette RAM-DACs ADV478/ADV471

OBSOLETE. CMOS 80 MHz Monolithic (18) Color Palette RAM-DACs ADV478/ADV471 a FEATURES Personal System/2* Compatible 80 MHz Pipelined Operation Triple 8-Bit (6-Bit) D/A Converters 256 24(18) Color Palette RAM 15 24(18) Overlay Registers RS-343A/RS-170 Compatible Outputs Sync on

More information

Checkpoint 2 Video Encoder and Basic User Interface

Checkpoint 2 Video Encoder and Basic User Interface UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Checkpoint 2 Video Encoder and Basic User Interface 1.0 Motivation The goal of this

More information

Dell Wyse 5030 PCoIP Zero Client

Dell Wyse 5030 PCoIP Zero Client Dell Wyse 5030 PCoIP Zero Client User Guide Regulatory Model: PxN Regulatory Type: PxN001 Notes, cautions, and warnings NOTE: A NOTE indicates important information that helps you make better use of your

More information

Experiment # 4 Counters and Logic Analyzer

Experiment # 4 Counters and Logic Analyzer EE20L - Introduction to Digital Circuits Experiment # 4. Synopsis: Experiment # 4 Counters and Logic Analyzer In this lab we will build an up-counter and a down-counter using 74LS76A - Flip Flops. The

More information