LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES. Masum Hossain University of Alberta

Size: px
Start display at page:

Download "LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES. Masum Hossain University of Alberta"

Transcription

1 LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES Masum Hossain University of Alberta 0

2 Outline Why ADC-Based receiver? Challenges in ADC-based receiver ADC-DSP based Receiver Reducing impact of Quantization Noise Variable Resolution ADC low-latency high-resolution TDC-based timing recovery Implemented Prototype and Measured Results 1

3 Conventional mixed-signal Link Tx FIR Filter: Peak power constrained Limited by supply voltage Peaking equalizer: Analog - does not scale well Limited by supply voltage PVT variation Decision Feedback Eq.: Latency constrained Difficult for multilevel signaling Existing equalization strategy does not scale well with technology, channel loss and data rate 2

4 Mixed-signal vs ADC-based Link ADC-based high speed Link Analog mixed-signal Digital Benefits of DSP-based equalization: Scales well with technology Frequency response can be well controlled Can equalize both pre and post cursors Challenges of DSP-based equalization: ADC-DSP is power hungry. Higher loop latency make timing recovery difficult 3

5 PAM-4 Digital Receiver Architecture Variable Resolution Predictive ADC 8-tap Digital FFE 3-tap in Look-up table 5-tap in conventional way Timing Recovery 3-bit TDC 4

6 Variable Resolution ADC 12 db loss 1 4 Fixed Reference Normalized Step Response & Comparator Reference Transient Data Edge Time (Bit period) Between two consecutive samples signal changes a lot Need to cover entire dynamic range - 4 Fixed References. 5

7 Variable Resolution ADC 25 db loss Normalized Step Response & Comparator Reference Transient Data Edge Data Edge Time (Bit period) Between two consecutive samples signal changes around 20% - 30% Need to cover a portion of entire dynamic range Reference Switching 6

8 Variable Resolution ADC 25 db loss Normalized Step Response & Comparator Reference Transient Data Edge Data Edge Time (Bit period) Edge comparator output defines the next probable location of references 7

9 Variable Resolution ADC 25 db loss 1 2 Edge Reference Normalized Step Response & Comparator Reference Fine Reference Transient Data Edge Data Edge Time (Bit period) Fine references are carried over to the mid of two coarse references 8

10 Variable Resolution ADC Sample and Hold Coarse Fine ODD Quad Edge Octal ODD Quad Coarse Octal EVEN EDGE Fine EVEN 9 Quad Octal PGEN PGEN Quad and Octal clock is retimed with a the original quad clock /2 Matched delay 3.5 GHz

11 ADC Offset Correction Ref: [2] Unbalance the capacitive load attached to the input of the strong-arm latch Store the bit-decisions into a 6T SRAM to reduce the area. 10

12 Measured ADC Performance 11

13 PAM-4 Digital Receiver Architecture Variable Resolution Predictive ADC 8-tap Digital FFE 3-tap in Look-up table 5-tap in conventional way Timing Recovery 3-bit TDC 12

14 Timing Recovery Challenge for ADC-based Receiver Digital FFE Ф Q MM Phase Detector Ф N Digital Filter MM based phase detection is not as robust as 2x (i.e. data and edge) sampled CDR Bang-bang or 1 bit phase quantization at the Phase detector increases in-band jitter Lowering loop bandwidth increases VCO phase noise contribution Loop latency makes it difficult to achieve wider loop bandwidth 13

15 Effect of Timing Noise on SNR Effect of timing noise on SNR is less when we consider channel loss!!!

16 Phase Tracking vs Blind ADC based [Clifford et.al. JSSC, 2013] Simple But latency sensitive ADC benefits from jitter tracking Less latency sensitive ADC does not benefits from jitter tracking

17 Low-latency Timing Recovery Region 3 Region 2 Region 1 Region 0 16

18 Low-latency Timing Recovery SAR TDC operation Proposed CDR Advantages: ADC bypass significantly reduces latency 3b SAR TDC reduces bang-bang dithering by 4x. Wider loop BW effectively filters VCO phase noise 17

19 Jitter Tolerance (UIpp) CDR Performance Phase Noise Jitter Tolerance with pattern Free-running Equipment limit Locked Integrated jitter = 0.5 ps In-band phase noise = - 90 dbc/hz Frequency (MHz)

20 PAM-4 Digital Receiver Architecture Variable Resolution Predictive ADC 8-tap Digital FFE 3-tap in Look-up table 5-tap in conventional way Timing Recovery 3-bit TDC 19

21 Noise Sources in ADC-based Receiver N LEQ N ADC N QZ Digital FFE Ф N Noise Source Constrain Transfer Gain N LEQ Power/Gain/BW LEQ + FFE Φ N Power and latency FFE N ADC Power/Settling time FFE N QZ ADC Resolution FFE Power (mw) Timing Recovery Flash ADC, Fs=14GS/s ADC Resolution (No. of bits)

22 Quantization Noise Impact N QZ, out N QZ W h X FFE h pre 2 h h X main N 2 Q Pr e h post W 2 Pr e N 2 QMain W 3 2 Main, x Pr e, Main, Post N 2 QPost W 2 Post FFT at the ADC Output (Simulated) FFT at the FFE Output (Simulated) ADC quantization Noise Floor (Theoretical) Quantization noise floor at the FFE output (Theoretical) AMPLITUDE (db) ANALOG INPUT FREQUENCY (GHz)

23 How to reduce ADC quantization noise impact? N QZ N bit N bit Z -1 N bit Z -1 h main h post h main h post N QMain N QPost N QZ 2N bit 2N bit Although Digital FFE output can be 2N bit, we are we are still limited by ADC s N bit resolution If FFE can be moved ahead of the ADC than we can Minimize ADC s quantization noise penalty How can we build a digital FFE with resolution better than the ADC? 22 22

24 Reducing Quantization Noise Impact LUT FFE Conv. FFE 5 bit Address Decoder 9 9 LUT based first three taps reduces quantization noise impact 3 to 8 taps does not significantly amplify quantization noise 23

25 Reducing Quantization Noise Impact 8-tap Conventional Power for different no. of taps and tap resolution 3-tap LUT + 5-tap Conventional Power for different no. of taps and tap resolution - LT Tap resolution No. of taps Tap resolution No. of taps 8 10 Proposed approach is 30% lower power compared to conv. FIR implementation

26 500 µm 1000 µm Area Impact of the proposed solution 8-tap Conventional 500 µm 3-tap LUT + 5-tap Conventional 1300 µm Area increases by 4x but Standard cell SRAM will reduce is by 25% Area will scale significantly with technology

27 Implemented Prototype in 65nm CMOS Long Reach DSP 30 mw 40 mw Analog TDC 33 mw 29 mw Digital 28 mw Clk. Gen + Buffer Medium Reach DSP 26 mw Analog 35 mw TDC 24 mw 26 mw Digital 23 mw Clk. Gen + Buffer Digital: T-to-B, Mode selection Retimer High BW Amplifier Passive Equalizer P0 HR (Fine S/H) Reference Generator P0 (Coarse S/H) P315 (Edge S/H) 3.5 GHz Clock Gen Even Odd TDC Implemented in TSMC 65nm 26 T-to-B T-to-B T-to-B T-to-B Mode Selection CH0 CH90 CH180 CH270 Digital Interface DSP FPGA

28 Implemented Prototype in 65nm CMOS To FPGA Heavily digital solution Input needs only 7 GHz bandwidth 27

29 Experimental Setup Matched SMA cables PCB for testing FPGA Interface Input Clock Cyclone V FPGA Varying channel loss by cascading SMA cables. 28

30 Input EYE in Digital Domain frequency responses of LR, MR and SR channels S R Linear Equalizer output EYE ADC Code Reconstructed digital EYE from ADC output Time (UI) MR ADC Code Time (UI) LR Tx has 6 db equalization Linear equalizer boost: 6 to 14 db 29

31 BER Occurrenc e Link Margin at 28Gb/s 30 db Channel 3-tap LUT + 5-tap 8-tap Conventional -3 Conventional Equalized output code Equalized output code FPGA gives the distribution of the bins The distribution is converted into log-scale Gaussian fit to extract the BER. 30

32 BER Power 28 Gb/s Link Margin Test and Energy Efficiency Data rate: 28 Gb/s PAM pj/bit 5.7 pj/bit FFE 2.1 pj/bit 2.1 pj/bit 3.25 pj/bit TDC ADC Channel Loss (db) Receiver can achieve BER up to

33 Comparison with state-of-art Shafik ISSCC 2015[4] Frans VLSI 2016[5] Cui ISSCC 2016[3] 32 Rylov ISSCC 2016 [6] This Work Technology 65 nm CMOS 16 nm FinFET 28 nm CMOS 32 nm CMOS 65 nm CMOS Data Rate (Gb/s) ADC Architecture Nyquist Timing Recovery 10 NRZ 32x TI SAR ADC 56 PAM-4 32x TI SAR ADC 32 PAM-4 32x TI SAR ADC 25 NRZ 4x Flash ADC 28 PAM-4 4x Flash ADC N/A Baud-rate Baud-rate Baud-rate Edge & Data Sampled Tracking BW MHz Jitter Tolerance Channel Loss Equalization Power (mw) MHz GHz 79(w/o DSP) 87(w DSP) GHz 32 8 GHz GHz 30 7 GHz 410(w/o DSP) @30 db w/o 15 db DSP 160@30 db with 15 db DSP FOM (pj/bit) @ 30 db with 2.14@ 15 db DSP

34 Summary of ADC Based Receiver ADC- DSP Based receivers are the future for multilevel signaling in advanced CMOS but it s power has to be reduced. DSP needs to be more information efficient Non-uniform quantization is a simple way to improve effective resolution. ADC for wireline is different than general purpose ADC. General purpose ADC considers each sample uncorrelated but in reality channel ISI makes them correlated predictive ADC is a simple way to take advantage of that. Timing recovery is as important as data recovery Multibit TDC and lower latency is an effective way to improve timing recovery loop and meet jitter requirement of the ADC. 33

100Gb/s Single-lane SERDES Discussion. Phil Sun, Credo Semiconductor IEEE New Ethernet Applications Ad Hoc May 24, 2017

100Gb/s Single-lane SERDES Discussion. Phil Sun, Credo Semiconductor IEEE New Ethernet Applications Ad Hoc May 24, 2017 100Gb/s Single-lane SERDES Discussion Phil Sun, Credo Semiconductor IEEE 802.3 New Ethernet Applications Ad Hoc May 24, 2017 Introduction This contribution tries to share thoughts on 100Gb/s single-lane

More information

25.5 A Zero-Crossing Based 8b, 200MS/s Pipelined ADC

25.5 A Zero-Crossing Based 8b, 200MS/s Pipelined ADC 25.5 A Zero-Crossing Based 8b, 200MS/s Pipelined ADC Lane Brooks and Hae-Seung Lee Massachusetts Institute of Technology 1 Outline Motivation Review of Op-amp & Comparator-Based Circuits Introduction of

More information

Performance comparison study for Rx vs Tx based equalization for C2M links

Performance comparison study for Rx vs Tx based equalization for C2M links Performance comparison study for Rx vs Tx based equalization for C2M links Karthik Gopalakrishnan, Basel Alnabulsi, Jamal Riani, Ilya Lyubomirsky, and Sudeep Bhoja, Inphi Corp. IEEE P802.3ck Task Force

More information

Comparison of NRZ, PR-2, and PR-4 signaling. Qasim Chaudry Adam Healey Greg Sheets

Comparison of NRZ, PR-2, and PR-4 signaling. Qasim Chaudry Adam Healey Greg Sheets Comparison of NRZ, PR-2, and PR-4 signaling Presented by: Rob Brink Contributors: Pervez Aziz Qasim Chaudry Adam Healey Greg Sheets Scope and Purpose Operation over electrical backplanes at 10.3125Gb/s

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 Lecture 9: TX Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Next

More information

Exceeding the Limits of Binary Data Transmission on Printed Circuit Boards by Multilevel Signaling

Exceeding the Limits of Binary Data Transmission on Printed Circuit Boards by Multilevel Signaling Exceeding the Limits of Binary Data Transmission on Printed Circuit Boards by Multilevel Signaling Markus Grözing, Manfred Berroth INT, in cooperation with Michael May Agilent Technologies, Böblingen Prof.

More information

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Why Test the Receiver? Serial Data communications standards have always specified both the transmitter and

More information

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Why Test the Receiver? Serial Data communications standards have always specified both the transmitter and

More information

A 90 Gb/s 2:1 Multiplexer with 1 Tap FFE in SiGe Technology

A 90 Gb/s 2:1 Multiplexer with 1 Tap FFE in SiGe Technology A 90 Gb/s 2:1 Multiplexer with 1 Tap FFE in SiGe Technology Ekaterina Laskin, University of Toronto Alexander Rylyakov, IBM T.J. Watson Research Center October 14 th, 2008 Paper H4 Outline Motivation System

More information

MR Interface Analysis including Chord Signaling Options

MR Interface Analysis including Chord Signaling Options MR Interface Analysis including Chord Signaling Options David R Stauffer Margaret Wang Johnston Andy Stewart Amin Shokrollahi Kandou Bus SA May 12, 2014 Kandou Bus, S.A 1 Contribution Number: OIF2014.113

More information

PAM4 signals for 400 Gbps: acquisition for measurement and signal processing

PAM4 signals for 400 Gbps: acquisition for measurement and signal processing TITLE PAM4 signals for 400 Gbps: acquisition for measurement and signal processing Image V1.00 1 Introduction, content High speed serial data links are in the process in increasing line speeds from 25

More information

100G EDR and QSFP+ Cable Test Solutions

100G EDR and QSFP+ Cable Test Solutions 100G EDR and QSFP+ Cable Test Solutions (IBTA, 100GbE, CEI) DesignCon 2017 James Morgante Anritsu Company Presenter Bio James Morgante Application Engineer Eastern United States james.morgante@anritsu.com

More information

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD LETTER IEICE Electronics Express, Vol.11, No.7, 1 6 A low jitter clock and data recovery with a single edge sensing Bang-Bang PD Taek-Joon Ahn, Sang-Soon Im, Yong-Sung Ahn, and Jin-Ku Kang a) Department

More information

High-Speed ADC Building Blocks in 90 nm CMOS

High-Speed ADC Building Blocks in 90 nm CMOS High-Speed ADC Building Blocks in 90 nm CMOS Markus Grözing, Manfred Berroth, INT Erwin Gerhardt, Bernd Franz, Wolfgang Templ, ALCATEL Institute of Electrical and Optical Communications Engineering Institute

More information

10 Gb/s Duobinary Signaling over Electrical Backplanes Experimental Results and Discussion

10 Gb/s Duobinary Signaling over Electrical Backplanes Experimental Results and Discussion 10 Gb/s Duobinary Signaling over Electrical Backplanes Experimental Results and Discussion J. Sinsky, A. Adamiecki, M. Duelk, H. Walter, H. J. Goetz, M. Mandich contact: sinsky@lucent.com Supporters John

More information

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features 6.25 Gbps multi-rate, multi-lane, SerDes macro IP Data brief Txdata1_in Tx1_clk Bist1 Rxdata1_out Rx1_clk Txdata2_in Tx2_clk Bist2 Rxdata2_out Rx2_clk Txdata3_in Tx3_clk Bist3 Rxdata3_out Rx3_clk Txdata4_in

More information

Half-Rate Decision-Feedback Equalization Di-Bit Response Analysis and Evaluation EDA365

Half-Rate Decision-Feedback Equalization Di-Bit Response Analysis and Evaluation EDA365 DesignCon 2008 Half-Rate Decision-Feedback Equalization Di-Bit Response Analysis and Evaluation Jihong Ren, Rambus Inc. jren@rambus.com Brian Leibowitz, Rambus Inc. Dan Oh, Rambus Inc. Jared Zerbe, Rambus

More information

How advances in digitizer technologies improve measurement accuracy

How advances in digitizer technologies improve measurement accuracy How advances in digitizer technologies improve measurement accuracy Impacts of oscilloscope signal integrity Oscilloscopes Page 2 By choosing an oscilloscope with superior signal integrity you get the

More information

32 G/64 Gbaud Multi Channel PAM4 BERT

32 G/64 Gbaud Multi Channel PAM4 BERT Product Introduction 32 G/64 Gbaud Multi Channel PAM4 BERT PAM4 PPG MU196020A PAM4 ED MU196040A Signal Quality Analyzer-R MP1900A Series Outline of MP1900A series PAM4 BERT Supports bit error rate measurements

More information

Presentation to IEEE P802.3ap Backplane Ethernet Task Force July 2004 Working Session

Presentation to IEEE P802.3ap Backplane Ethernet Task Force July 2004 Working Session Presentation to IEEE P802.3ap Backplane Ethernet Task Force July 2004 Working Session Title: PAM-4 versus NRZ Signaling: "Basic Theory" Source: John Bulzacchelli Troy Beukema David R Stauffer Joe Abler

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 12: Divider Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Divider Basics Dynamic CMOS

More information

DPD80 Infrared Datasheet

DPD80 Infrared Datasheet Data Sheet v1.4 DPD8 Infrared DPD8 Infrared Datasheet Resolved Inc. www.resolvedinstruments.com info@resolvedinstruments.com 217 Resolved Inc. All rights reserved. DPD8 Infrared General Description The

More information

SECQ Test Method and Calibration Improvements

SECQ Test Method and Calibration Improvements SECQ Test Method and Calibration Improvements IEEE802.3cd, Geneva, January 22, 2018 Matt Sysak, Adee Ran, Hai-Feng Liu, Scott Schube In support of comments 82-84 Summary We are proposing revising the wording

More information

Is the Golden Age of Analog circuit Design Over?

Is the Golden Age of Analog circuit Design Over? Is the Golden Age of Analog circuit Design Over? My answer: Yes, the golden age of pure analog circuit design is over. But, the golden age of mixed signal technology is coming. Some important works might

More information

Digital Correction for Multibit D/A Converters

Digital Correction for Multibit D/A Converters Digital Correction for Multibit D/A Converters José L. Ceballos 1, Jesper Steensgaard 2 and Gabor C. Temes 1 1 Dept. of Electrical Engineering and Computer Science, Oregon State University, Corvallis,

More information

Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems

Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems Abstract: Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems Atul Krishna Gupta, Aapool Biman and Dino Toffolon Gennum Corporation This paper describes a system level

More information

Further Investigation of Bit Multiplexing in 400GbE PMA

Further Investigation of Bit Multiplexing in 400GbE PMA Further Investigation of Bit Multiplexing in 400GbE PMA Tongtong Wang, Xinyuan Wang, Wenbin Yang HUAWEI TECHNOLOGIES CO., LTD. IEEE 802.3bs 400 GbE Task Force Introduction and Background Bit-Mux in PMA

More information

100G SR4 Link Model Update & TDP. John Petrilla: Avago Technologies January 2013

100G SR4 Link Model Update & TDP. John Petrilla: Avago Technologies January 2013 100G SR4 Link Model Update & TDP John Petrilla: Avago Technologies January 2013 100G 100m Transceivers Summary Presentation Objectives: Provide an update of the example link model for 100G 100m MMF Discuss

More information

PEP-II longitudinal feedback and the low groupdelay. Dmitry Teytelman

PEP-II longitudinal feedback and the low groupdelay. Dmitry Teytelman PEP-II longitudinal feedback and the low groupdelay woofer Dmitry Teytelman 1 Outline I. PEP-II longitudinal feedback and the woofer channel II. Low group-delay woofer topology III. Why do we need a separate

More information

Clock Jitter Cancelation in Coherent Data Converter Testing

Clock Jitter Cancelation in Coherent Data Converter Testing Clock Jitter Cancelation in Coherent Data Converter Testing Kars Schaapman, Applicos Introduction The constantly increasing sample rate and resolution of modern data converters makes the test and characterization

More information

Large Area, High Speed Photo-detectors Readout

Large Area, High Speed Photo-detectors Readout Large Area, High Speed Photo-detectors Readout Jean-Francois Genat + On behalf and with the help of Herve Grabas +, Samuel Meehan +, Eric Oberla +, Fukun Tang +, Gary Varner ++, and Henry Frisch + + University

More information

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering Digitally Assisted Analog Circuits Boris Murmann Stanford University Department of Electrical Engineering murmann@stanford.edu Motivation Outline Progress in digital circuits has outpaced performance growth

More information

PAPER A 1.25-Gb/s Digitally-Controlled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution

PAPER A 1.25-Gb/s Digitally-Controlled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution IEICE TRANS. ELECTRON., VOL.E90 C, NO.1 JANUARY 2007 165 PAPER A 1.25-Gb/s Digitally-Controlled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution Chang-Kyung SEONG a), Seung-Woo

More information

The Case of the Closing Eyes: Is PAM the Answer? Is NRZ dead?

The Case of the Closing Eyes: Is PAM the Answer? Is NRZ dead? The Case of the Closing Eyes: Is PAM the Answer? Is NRZ dead? Agenda Introductions Overview Design Engineering Perspective Test & Measurement Perspective Summary Audience Discussion Panelists Cathy Liu

More information

Practical Receiver Equalization Tradeoffs Applicable to Next- Generation 28 Gb/s Links with db Loss Channels

Practical Receiver Equalization Tradeoffs Applicable to Next- Generation 28 Gb/s Links with db Loss Channels DesignCon 2013 Practical Receiver Equalization Tradeoffs Applicable to Next- Generation 28 Gb/s Links with 20 35 db Loss Channels Edward Frlan, Semtech Corp. (EFrlan@semtech.com) Francois Tremblay, Semtech

More information

DPD80 Visible Datasheet

DPD80 Visible Datasheet Data Sheet v1.3 Datasheet Resolved Inc. www.resolvedinstruments.com info@resolvedinstruments.com 217 Resolved Inc. All rights reserved. General Description The DPD8 is a low noise digital photodetector

More information

IEEE Santa Clara ComSoc/CAS Weekend Workshop Event-based analog sensing

IEEE Santa Clara ComSoc/CAS Weekend Workshop Event-based analog sensing IEEE Santa Clara ComSoc/CAS Weekend Workshop Event-based analog sensing Theodore Yu theodore.yu@ti.com Texas Instruments Kilby Labs, Silicon Valley Labs September 29, 2012 1 Living in an analog world The

More information

Trigger synchronization and phase coherent in high speed multi-channels data acquisition system

Trigger synchronization and phase coherent in high speed multi-channels data acquisition system White Paper Trigger synchronization and phase coherent in high speed multi-channels data acquisition system Synopsis Trigger synchronization and phase coherent acquisition over multiple Data Acquisition

More information

A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology

A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology Pyung-Su Han Dept. of Electrical and Electronic Engineering Yonsei University Seoul, Korea ps@tera.yonsei.ac.kr Woo-Young Choi Dept.

More information

CONVENTIONAL phase-tracking clock and data recovery

CONVENTIONAL phase-tracking clock and data recovery 1658 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A3 Blind ADC-Based CDR for a 20 db Loss Channel Mohammad Sadegh Jalali, Student Member, IEEE, Clifford Ting,

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Timing Modules. Connect Frequency Control Timing Modules

Timing Modules. Connect Frequency Control Timing Modules Timing Modules Connect Frequency Control Timing Modules Timing Modules CTS Timing Modules product line includes completely integrated high frequency, low phase noise timing solutions for jitter attenuation,

More information

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, 1998 William J. ally Computer Systems Laboratory Stanford University billd@csl.stanford.edu Copyright (C) by William J. ally, All Rights

More information

Synthesized Clock Generator

Synthesized Clock Generator Synthesized Clock Generator CG635 DC to 2.05 GHz low-jitter clock generator Clocks from DC to 2.05 GHz Random jitter

More information

MCP Signal Extraction and Timing Studies. Kurtis Nishimura University of Hawaii LAPPD Collaboration Meeting June 11, 2010

MCP Signal Extraction and Timing Studies. Kurtis Nishimura University of Hawaii LAPPD Collaboration Meeting June 11, 2010 MCP Signal Extraction and Timing Studies Kurtis Nishimura University of Hawaii LAPPD Collaboration Meeting June 11, 2010 Outline Studying algorithms to process pulses from MCP devices. With the goal of

More information

Duobinary Transmission over ATCA Backplanes

Duobinary Transmission over ATCA Backplanes Duobinary Transmission over ATCA Backplanes Majid Barazande-Pour John Khoury November 15-19, 2004 IEEE 802.3ap Backplane Ethernet Task Force Plenary Meeting San Antonio Texas Outline Introduction Adaptive

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

Research Results in Mixed Signal IC Design

Research Results in Mixed Signal IC Design Research Results in Mixed Signal IC Design Jiren Yuan, Professor Department of Electroscience Lund University, Lund, Sweden J. Yuan, Dept. of Electroscience, Lund University 1 Work packages in project

More information

IC Design of a New Decision Device for Analog Viterbi Decoder

IC Design of a New Decision Device for Analog Viterbi Decoder IC Design of a New Decision Device for Analog Viterbi Decoder Wen-Ta Lee, Ming-Jlun Liu, Yuh-Shyan Hwang and Jiann-Jong Chen Institute of Computer and Communication, National Taipei University of Technology

More information

AMI Modeling Methodology and Measurement Correlation of a 6.25Gb/s Link

AMI Modeling Methodology and Measurement Correlation of a 6.25Gb/s Link May 26th, 2011 DAC IBIS Summit June 2011 AMI Modeling Methodology and Measurement Correlation of a 6.25Gb/s Link Ryan Coutts Antonis Orphanou Manuel Luschas Amolak Badesha Nilesh Kamdar Agenda Correlation

More information

Component BW requirement of 56Gbaud Modulations for 400GbE 2 & 10km PMD

Component BW requirement of 56Gbaud Modulations for 400GbE 2 & 10km PMD Component BW requirement of 56Gbaud Modulations for 400GbE 2 & 10km PMD IEEE 802.3bs 400GbE Task Force Plenary meeting, San Diego, CA July 14 18, 2014 Fei Zhu, Yangjing Wen, Yusheng Bai Huawei US R&D Center

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

EE241 - Spring 2005 Advanced Digital Integrated Circuits

EE241 - Spring 2005 Advanced Digital Integrated Circuits EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 21: Asynchronous Design Synchronization Clock Distribution Self-Timed Pipelined Datapath Req Ack HS Req Ack HS Req Ack HS Req Ack Start

More information

Proposed reference equalizer change in Clause 124 (TDECQ/SECQ. methodologies).

Proposed reference equalizer change in Clause 124 (TDECQ/SECQ. methodologies). Proposed reference equalizer change in Clause 124 (TDECQ/SECQ methodologies). 25th April 2017 P802.3bs SMF ad hoc Atul Gupta, Macom Marco Mazzini, Cisco Introduction In mazzini_01a_0317_smf, some concerns

More information

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS Phaneendra Bikkina 1, Qingjun Fan 2, Wenlan Wu 1, Jinghong Chen 2 and Esko Mikkola 1 1 Alphacore, Inc., 2 University of Houston 2017 CASPER Workshop Pasadena,

More information

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6 18.6 Data Recovery and Retiming for the Fully Buffered DIMM 4.8Gb/s Serial Links Hamid Partovi 1, Wolfgang Walthes 2, Luca Ravezzi 1, Paul Lindt 2, Sivaraman Chokkalingam 1, Karthik Gopalakrishnan 1, Andreas

More information

Next Generation Ultra-High speed standards measurements of Optical and Electrical signals

Next Generation Ultra-High speed standards measurements of Optical and Electrical signals Next Generation Ultra-High speed standards measurements of Optical and Electrical signals Apr. 2011, V 1.0, prz Agenda Speeds above 10 Gb/s: Transmitter and Receiver test setup Transmitter Test 1,2 : Interconnect,

More information

A Low-Power 0.7-V H p Video Decoder

A Low-Power 0.7-V H p Video Decoder A Low-Power 0.7-V H.264 720p Video Decoder D. Finchelstein, V. Sze, M.E. Sinangil, Y. Koken, A.P. Chandrakasan A-SSCC 2008 Outline Motivation for low-power video decoders Low-power techniques pipelining

More information

Further information on PAM4 error performance and power budget considerations

Further information on PAM4 error performance and power budget considerations Further information on PAM4 error performance and power budget considerations Peter Stassar San Antonio, November 2014 HUAWEI TECHNOLOGIES CO., LTD. Contents Brief summary of 2 SMF Ad Hoc presentations

More information

Draft 100G SR4 TxVEC - TDP Update. John Petrilla: Avago Technologies February 2014

Draft 100G SR4 TxVEC - TDP Update. John Petrilla: Avago Technologies February 2014 Draft 100G SR4 TxVEC - TDP Update John Petrilla: Avago Technologies February 2014 Supporters David Cunningham Jonathan King Patrick Decker Avago Technologies Finisar Oracle MMF ad hoc February 2014 Avago

More information

PAM8 Baseline Proposal

PAM8 Baseline Proposal PAM8 Baseline Proposal Authors: Chris Bergey Luxtera Vipul Bhatt Cisco Sudeep Bhoja Inphi Arash Farhood Cortina Ali Ghiasi Broadcom Gary Nicholl Cisco Andre Szczepanek -- InPhi Norm Swenson Clariphy Vivek

More information

EVLA Fiber Selection Critical Design Review

EVLA Fiber Selection Critical Design Review EVLA Fiber Selection Critical Design Review December 5, 2001 SJD/TAB 1 Fiber Selection CDR Decision about what fiber to install Select cable Jan 2002 Order cable Jan 2002 Receive cable May 2002 Start installation

More information

Investigation of PAM-4/6/8 Signaling and FEC for 100 Gb/s Serial Transmission

Investigation of PAM-4/6/8 Signaling and FEC for 100 Gb/s Serial Transmission Investigation of PAM-4/6/8 Signaling and FEC for 100 Gb/s Serial Transmission IEEE 802.3bm Task Force Ali Ghiasi, Zhongfeng Wang, and Vivek Telang - Broadcom Brian Welch Luxtera Nov 13-15, 2012 San Antonio,

More information

New Results on QAM-Based 1000BASE-T Transceiver

New Results on QAM-Based 1000BASE-T Transceiver New Results on QAM-Based 1000BASE-T Transceiver Oscar Agazzi, Mehdi Hatamian, Henry Samueli Broadcom Corp. 16251 Laguna Canyon Rd. Irvine, CA 92618 714-450-8700 Outline Transceiver parameters 3dB and 10dB

More information

2 MHz Lock-In Amplifier

2 MHz Lock-In Amplifier 2 MHz Lock-In Amplifier SR865 2 MHz dual phase lock-in amplifier SR865 2 MHz Lock-In Amplifier 1 mhz to 2 MHz frequency range Dual reference mode Low-noise current and voltage inputs Touchscreen data display

More information

«Trends in high speed, low power Analog to Digital converters»

«Trends in high speed, low power Analog to Digital converters» «Trends in high speed, low power Analog to Digital converters» Laurent Dugoujon Data-Converters Design Mgr. STMicroelectronics Outline Introduction/Generalities ADC challenges ST ADC products Power Optimisation

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 65 MSPS DUAL ADC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 65 MSPS DUAL ADC LTC2286, LTC2287, LTC2288, LTC2290, LTC2291, LTC2292, LTC2293, LTC2294, LTC2295, LTC2296, LTC2297, LTC2298 or LTC2299 DESCRIPTION Demonstration circuit 816 supports a family of s. Each assembly features

More information

On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ

On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ Pavel Zivny, Tektronix V1.0 On Figure of Merit in PAM4 Optical Transmitter Evaluation, Particularly TDECQ A brief presentation

More information

Ali Ghiasi. Nov 8, 2011 IEEE GNGOPTX Study Group Atlanta

Ali Ghiasi. Nov 8, 2011 IEEE GNGOPTX Study Group Atlanta Ali Ghiasi Nov 8, 2011 IEEE 802.3 100GNGOPTX Study Group Atlanta 1 Overview I/O Trend Line card implementations VSR/CAUI-4 application model cppi-4 application model VSR loss budget Possible CAUI-4 loss

More information

Application Note 5098

Application Note 5098 LO Buffer Applications using Avago Technologies ABA-3X563 Silicon Amplifiers Application Note 5098 Introduction An oscillator or a voltage-controlled oscillator (VCO) is usually buffered with an external

More information

Nutaq. PicoDigitizer-125. Up to 64 Channels, 125 MSPS ADCs, FPGA-based DAQ Solution With Up to 32 Channels, 1000 MSPS DACs PRODUCT SHEET. nutaq.

Nutaq. PicoDigitizer-125. Up to 64 Channels, 125 MSPS ADCs, FPGA-based DAQ Solution With Up to 32 Channels, 1000 MSPS DACs PRODUCT SHEET. nutaq. Nutaq Up to 64 Channels, 125 MSPS ADCs, FPGA-based DAQ Solution With Up to 32 Channels, 1000 MSPS DACs PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com Nutaq The PicoDigitizer 125-Series is a

More information

Understanding Sampling rate vs Data rate. Decimation (DDC) and Interpolation (DUC) Concepts

Understanding Sampling rate vs Data rate. Decimation (DDC) and Interpolation (DUC) Concepts Understanding Sampling rate vs Data rate. Decimation (DDC) and Interpolation (DUC) Concepts TIPL 4701 Presented by Jim Seton Prepared by Jim Seton 1 Table of Contents Input Data Rates Why lower data rates

More information

Investigation of PAM-4/6/8 Signaling and FEC for 100 Gb/s Serial Transmission

Investigation of PAM-4/6/8 Signaling and FEC for 100 Gb/s Serial Transmission Investigation of PAM-4/6/8 Signaling and FEC for 100 Gb/s Serial Transmission IEEE 802.3bm Task Force Ali Ghiasi, Zhongfeng Wang, and Vivek Telang - Broadcom Brian Welch Luxtera Nov 13-15, 2012 San Antonio,

More information

10GBASE-LRM Interoperability & Technical Feasibility Report

10GBASE-LRM Interoperability & Technical Feasibility Report 10GBASE-LRM Interoperability & Technical Feasibility Report Dan Rausch, Mario Puleo, Hui Xu Agilent Sudeep Bhoja, John Jaeger, Jonathan King, Jeff Rahn Big Bear Networks Lew Aronson, Jim McVey, Jim Prettyleaf

More information

System Evolution with 100G Serial IO

System Evolution with 100G Serial IO System Evolution with 100G Serial IO Ali Ghiasi GhiasiQuantum LLC 100 Gb/s/Lane NEA Meeting New Orleans May 24th, 2017 Overview q Since 10GBASE-KR superset ASIC SerDes have supported C2M, C2M, and backplane

More information

Copyright. Robert Alexander Fontaine

Copyright. Robert Alexander Fontaine Copyright by Robert Alexander Fontaine 2013 The Report Committee for Robert Alexander Fontaine Certifies that this is the approved version of the following report: Investigation of 10-Bit SAR ADC Using

More information

GHz Sampling Design Challenge

GHz Sampling Design Challenge GHz Sampling Design Challenge 1 National Semiconductor Ghz Ultra High Speed ADCs Target Applications Test & Measurement Communications Transceivers Ranging Applications (Lidar/Radar) Set-top box direct

More information

2 MHz Lock-In Amplifier

2 MHz Lock-In Amplifier 2 MHz Lock-In Amplifier SR865 2 MHz dual phase lock-in amplifier SR865 2 MHz Lock-In Amplifier 1 mhz to 2 MHz frequency range Low-noise current and voltage inputs Touchscreen data display - large numeric

More information

Delta-Sigma Modulators

Delta-Sigma Modulators Delta-Sigma Modulators Modeling, Design and Applications George I Bourdopoulos University ofpatras, Greece Aristodemos Pnevmatikakis Athens Information Technology, Greece Vassilis Anastassopoulos University

More information

Comment #147, #169: Problems of high DFE coefficients

Comment #147, #169: Problems of high DFE coefficients Comment #147, #169: Problems of high DFE coefficients Yasuo Hidaka Fujitsu Laboratories of America, Inc. September 16-18, 215 IEEE P82.3by 25 Gb/s Ethernet Task Force Comment #147 1 IEEE P82.3by 25 Gb/s

More information

Achieving Timing Closure in ALTERA FPGAs

Achieving Timing Closure in ALTERA FPGAs Achieving Timing Closure in ALTERA FPGAs Course Description This course provides all necessary theoretical and practical know-how to write system timing constraints for variety designs in ALTERA FPGAs.

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

4 MHz Lock-In Amplifier

4 MHz Lock-In Amplifier 4 MHz Lock-In Amplifier SR865A 4 MHz dual phase lock-in amplifier SR865A 4 MHz Lock-In Amplifier 1 mhz to 4 MHz frequency range Low-noise current and voltage inputs Touchscreen data display - large numeric

More information

Measurements Results of GBd VCSEL Over OM3 with and without Equalization

Measurements Results of GBd VCSEL Over OM3 with and without Equalization Measurements Results of 25.78 GBd VCSEL Over OM3 with and without Equalization IEEE 100GNGOPTX Study Group Ali Ghiasi and Fred Tang Broadcom Corporation May 14, 2012 Minneapolis Overview Test setup Measured

More information

Benefits of the R&S RTO Oscilloscope's Digital Trigger. <Application Note> Products: R&S RTO Digital Oscilloscope

Benefits of the R&S RTO Oscilloscope's Digital Trigger. <Application Note> Products: R&S RTO Digital Oscilloscope Benefits of the R&S RTO Oscilloscope's Digital Trigger Application Note Products: R&S RTO Digital Oscilloscope The trigger is a key element of an oscilloscope. It captures specific signal events for detailed

More information

Datasheet SHF A

Datasheet SHF A SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23D 12277 Berlin Germany Phone +49 30 772051-0 Fax ++49 30 7531078 E-Mail: sales@shf.de Web: http://www.shf.de Datasheet SHF 19120 A 2.85 GSa/s

More information

Agilent N4965A Multi-Channel BERT 12.5 Gb/s Data Sheet

Agilent N4965A Multi-Channel BERT 12.5 Gb/s Data Sheet Agilent Multi-Channel BERT 2.5 Gb/s Data Sheet Highly cost effective solution for characterizing crosstalk susceptibility, backplanes and multi-lane serial data systems Product highlights Modular architecture

More information

Datasheet SHF A Multi-Channel Error Analyzer

Datasheet SHF A Multi-Channel Error Analyzer SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23D 12277 Berlin Germany Phone +49 30 772051-0 Fax +49 30 7531078 E-Mail: sales@shf.de Web: http://www.shf.de Datasheet SHF 11104 A Multi-Channel

More information

RF Record & Playback MATTHIAS CHARRIOT APPLICATION ENGINEER

RF Record & Playback MATTHIAS CHARRIOT APPLICATION ENGINEER RF Record & Playback MATTHIAS CHARRIOT APPLICATION ENGINEER Introduction Recording RF Signals WHAT DO WE USE TO RECORD THE RF? Where do we start? Swept spectrum analyzer Real-time spectrum analyzer Oscilloscope

More information

DataCom: Practical PAM4 Test Methods for Electrical CDAUI8/VSR-PAM4, Optical 400G-BASE LR8/FR8/DR4

DataCom: Practical PAM4 Test Methods for Electrical CDAUI8/VSR-PAM4, Optical 400G-BASE LR8/FR8/DR4 DataCom: Practical PAM4 Test Methods for Electrical CDAUI8/VSR-PAM4, Optical 400G-BASE LR8/FR8/DR4 400G Ecosystem (shown for comparison) Ethernet (highly leveraged PAM4) CFP8 Blade Servers CDAUI-8, CDAUI-16

More information

Receiver Testing to Third Generation Standards. Jim Dunford, October 2011

Receiver Testing to Third Generation Standards. Jim Dunford, October 2011 Receiver Testing to Third Generation Standards Jim Dunford, October 2011 Agenda 1.Introduction 2. Stressed Eye 3. System Aspects 4. Beyond Compliance 5. Resources 6. Receiver Test Demonstration PCI Express

More information

100GBASE-SR4 Extinction Ratio Requirement. John Petrilla: Avago Technologies September 2013

100GBASE-SR4 Extinction Ratio Requirement. John Petrilla: Avago Technologies September 2013 100GBASE-SR4 Extinction Ratio Requirement John Petrilla: Avago Technologies September 2013 Presentation Summary Eye displays for the worst case TP1 and Tx conditions that were used to define Clause 95

More information

WAVEEXPERT SERIES OSCILLOSCOPES WE 9000 NRO 9000 SDA 100G. The World s Fastest Oscilloscope

WAVEEXPERT SERIES OSCILLOSCOPES WE 9000 NRO 9000 SDA 100G. The World s Fastest Oscilloscope WAVEEXPERT SERIES OSCILLOSCOPES WE 9000 NRO 9000 SDA 100G The World s Fastest Oscilloscope The Fastest Oscilloscope in the Marketplace The WaveExpert and SDA 100G are the first instruments to combine the

More information

More Insights of IEEE 802.3ck Baseline Reference Receivers

More Insights of IEEE 802.3ck Baseline Reference Receivers More Insights of IEEE 802.3ck Baseline Reference Receivers Yuchun Lu, Huawei Zhilei Huang, Huawei Yan Zhuang, Huawei IEEE 802.3 100 Gb/s, 200 Gb/s, and 400 Gb/s Electrical Interfaces Task Force Table of

More information

Impact of Clock Content on the CDR with Propose Resolution

Impact of Clock Content on the CDR with Propose Resolution Impact of Clock Content on the CDR with Propose Resolution Ali Ghiasi Ghiasi Quantum, Phil Sun Credo, Xiang He and Xinyuan Wang - Huawei IEEE 802.3bs Logic Adhoc March 9, 2017 List of supporters q Eric

More information

Chapter 6: Real-Time Image Formation

Chapter 6: Real-Time Image Formation Chapter 6: Real-Time Image Formation digital transmit beamformer DAC high voltage amplifier keyboard system control beamformer control T/R switch array body display B, M, Doppler image processing digital

More information

Clause 74 FEC and MLD Interactions. Magesh Valliappan Broadcom Mark Gustlin - Cisco

Clause 74 FEC and MLD Interactions. Magesh Valliappan Broadcom Mark Gustlin - Cisco Clause 74 FEC and MLD Interactions Magesh Valliappan Broadcom Mark Gustlin - Cisco Introduction The following slides investigate whether the objectives of the Clause 74 FEC* can be met with MLD for KR4,

More information

Measurements and Simulation Results in Support of IEEE 802.3bj Objective

Measurements and Simulation Results in Support of IEEE 802.3bj Objective Measurements and Simulation Results in Support of IEEE 802.3bj Objective Jitendra Mohan, National Semiconductor Corporation Pravin Patel, IBM Zhiping Yang, Cisco Peerouz Amleshi, Mark Bugg, Molex Sep 2011,

More information

Clock Generation and Distribution for High-Performance Processors

Clock Generation and Distribution for High-Performance Processors Clock Generation and Distribution for High-Performance Processors Stefan Rusu Senior Principal Engineer Enterprise Microprocessor Division Intel Corporation stefan.rusu@intel.com Outline Clock Distribution

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 105 MSPS ADC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 105 MSPS ADC LTC2280, LTC2282, LTC2284, LTC2286, LTC2287, LTC2288 LTC2289, LTC2290, LTC2291, LTC2292, LTC2293, LTC2294, LTC2295, LTC2296, LTC2297, LTC2298 or LTC2299 DESCRIPTION Demonstration circuit 851 supports a

More information