UNIVERSITI MALAYSIA PERLIS. EKT 124 Digital Electronics1 [Elektronik Digit 1]

Size: px
Start display at page:

Download "UNIVERSITI MALAYSIA PERLIS. EKT 124 Digital Electronics1 [Elektronik Digit 1]"

Transcription

1 SULIT UNIVERSITI MALAYSIA PERLIS Peperiksaan Akhir Semester Kedua Sidang Akademik 2016/2017 Jun 2017 EKT 124 Digital Electronics1 [Elektronik Digit 1] Masa : 3 jam Please make sure that this paper has FIFTEEN (15) printed pages including this front page before you start the examination. [Sila pastikan kertas soalan ini mengandungi LIMA BELAS (15) muka surat yang bercetak termasuk muka hadapan sebelum anda memulakan peperiksaan ini.] This question paper has SIX (6) questions. Answer only FIVE (5) questions. [Kertas soalan ini mengandungi ENAM (6) soalan. Jawab hanya LIMA (5) soalan sahaja.] Please attach Appendix 1 and Appendix 2 together with the answer script. [Sila kepilkan Lampiran 1 dan Lampiran 2 bersama-sama skrip jawapan.] Section A : This section has FOUR (4) questions. Answer ALL questions. (80 marks) [Bahagian A : Bahagian ini ada EMPAT (4) soalan. Jawab SEMUA soalan. (80 markah)] Section B : Answer any ONE (1) question. (20 marks) [Bahagian B : Jawab mana-mana SATU (1) soalan. (20 markah)]

2 SULIT -2- (EKT124) SECTION A [Bahagian A] Question 1 [Soalan 1] (C1,CO1,PO1) (a) Illustrate the difference between digital waveform and analog waveform. [Terangkan perbezaan di antara bentuk gelombang digital dan bentuk gelombang analog.] (2 marks/markah) (C2,CO1,PO1) (b) Convert to hexadecimal. [Tukar kepada perenambelasan.] (3 marks/markah) (C3,CO1,PO1) (c) Demonstrate the calculation for the following arithmetic operations without converting the numbers to decimal. [Tunjukkan pengiraan untuk operasi aritmetik berikut tanpa menukar nombor kepada nombor perpuluhan.] (i) Binary multiplication of and [Pendaraban perdua dan 1101.] (ii) Hexadecimal addition of 3741 and [Penambahan perenambelas 3741 dan 5589.] (C3,CO2,PO1) (d) Given a Boolean expression as below: [Diberi ungkapan Boolean seperti di bawah:] (7 marks/markah) A (A + B) + (B + A)(A + B ) (i) Write simplified expression using Boolean algebra. [Tulis ungkapan teringkas menggunakan algebra Boolean.] (ii) Sketch the logic circuit of the simplified expression. [Lakar litar logik bagi ungkapan yang telah dipermudahkan.] (8 marks/markah) 3/--

3 SULIT -3- (EKT124) Question 2 [Soalan 2] (C2,CO1,PO1) (a) Given magnitude value of 3310 is and 2710 is Compute addition of 3310 and 2710 in 2 s complement representation. [Diberi nilai magnitud ialah dan ialah Kira penambahan dan di dalam perwakilan pelengkap 2.] (2 Marks/markah) (C3,CO2,PO1) (b) Given a function [Diberi satu fungsi] (i) (ii) Construct a truth table. [Bina satu jadual kebenaran.] F(A,B,C,D) = πm(1,3,4,5,6,9,11,13,14) Produce a minimized Sum of Product (SOP) expression using Karnaugh Maps (K- Map). [Hasilkan pernyataan Sum of Product (SOP) termudah menggunakan peta Karnaugh (Peta-K).] (iii) Based on the truth table in b(i), complete waveform in Appendix 1. [Berdasarkan jadual kebenaran di b(i), lengkapkan gelombang di Lampiran 1.] (C4,CO3,PO2) (c) Figure 1 shows a 3-bit Carry Ripple Adder. An n-bit subtractor can be build using a full subtractor or an n-bit adder and n inverters. Using Figure 1, demonstrate the subtraction of X-Y ( ) by determining the complete sum, Σ and intermediate carries, Cout throughout the process. [Rajah 1 menunjukkan penambah bawaan riak 3-bit. Penolak n-bit boleh dibina menggunakan penolak penuh atau penambah n-bit dan n penyongsang. Menggunakan Rajah 1, demonstrasikan penolakan X-Y ( ) dengan menentukan jumlah akhir, Σ dan perantaraan bawaan, Cout di sepanjang proses.] 4/--

4 SULIT -4- (EKT124) Figure 1 [Rajah 1] (6 Marks/markah) 5/--

5 SULIT -5- (EKT124) (C3,CO3,PO2) Question 3 [Soalan3] Function of a multiplexer (MUX) is to select one of several input signals and passes it to the output. [Fungsi pemultipleks (MUX) ialah memilih satu dari beberapa gelombang isyarat dan menghantar kepada keluaran.] (a) Sketch a complete logic circuit of a four to one (4:1) MUX based on the selection table in Table 1. [Lakar litar logik penuh bagi MUX empat kepada satu (4:1) berdasarkan jadual pilihan di Jadual 1.] Table 1 [Jadual 1] S1 S0 Output 0 0 Z = I3 0 1 Z = I2 1 0 Z = I1 1 1 Z = 10 (9 Marks/markah) (b) Sketch a block diagram of a sixteen to one (16:1) MUX using four to one (4:1) MUX using cascading method. [Lakar gambarajah blok enambelas kepada satu (16:1) MUX menggunakan empat kepada satu (4:1) MUX menggunakan kaedah lataan.] A decoder is a circuit that creates an output based on the binary states of a given input. [Pengekod adalah litar yang menghasilkan keluaran berasaskan keadaan binari bagi masukan yang diberikan.] (c) Produce a truth table for the 3-to-8 line active high decoder. [Hasilkan jadual kebenaran pengekod aktif tinggi 3-kepada-8 aliran.] (2 Marks/markah) (d) Based on the truth table in (c), sketch the equivalent schematic diagram. Write the equation for each output. [Berdasarkan jadual kebenaran di (c), lakar gambarajah skematik yang setara. Tuliskan ungkapan bagi setiap keluaran.] (6 Marks/markah) 6/--

6 SULIT -6- (EKT124) Question 4 [Soalan4] Two 4-bit shift registers and a flip-flop can be used in a serial adder to store the result of an addition operation. [Dua buah daftar anjak 4-bit dan flip-flop boleh digunakan dalam penambah sesiri untuk menyimpan hasil daripada operasi penambahan.] (C3,CO4,PO2) (a) Sketch a schematic diagram of one 4-bit serial in/parallel out shift register using D flip-flops. [Lakar satu gambarajah skematik satu daftar anjak 4-bit sesiri masuk/selari keluar menggunakan flip-flop D.] (C4,CO4,PO2) (b) The sequence of is applied to the input of a 4-bit shift registers that is initially cleared. Determine the state of the following 4-bit shift registers after four clock pulses. [Turutan digunakan untuk masukan di daftar anjakan 4-bit yang mulanya dikosongkan. Tentukan keadaan daftar anjakan 4-bit yang berikut selepas empat denyut jam.] (i) (ii) (iii) Serial in/serial out shift register [Daftar anjak sesiri masuk/sesiri keluar] Serial in/parallel out shift register [Daftar anjak sesiri masuk/selari keluar] Parallel in/parallel out shift register [Daftar anjak selari masuk/selari keluar] (c) The output of a latch and a flip-flop depends on their current inputs and previous output. [Keluaran latch dan flip-flop bergantung kepada masukan terkini dan keluaran sebelumnya.] (C1,CO4,PO2) (i) State ONE (1) main difference between a latch and a flip-flop. [Nyatakan SATU (1) perbezaan utama di antara latch dan flip-flop.] (1 Mark/markah) (C2,CO4,PO2) (ii) Explain the definition of synchronous input and asynchronous input for flip-flops. [Terangkan definisi masukan segerak dan masukan tak segerak untuk flip-flop.] (2 Marks/markah) 7/--

7 SULIT -7- (EKT124) (d) Figure 2 shows how flip-flops are used in a basic register for parallel data storage. [Rajah 2 menunjukkan bagaimana flip-flop digunakan di dalam daftar asas untuk penyimapanan data selari.] Figure 2 [Rajah 2] (C1,CO4,PO2) (i) Determine the type of flip-flops in Figure 2. [Tentukan jenis flip-flop di Rajah 2.] (C1,CO4,PO2) (ii) State the function of signal CLR in Figure 2? [Nyatakan fungsi signal CLR di Rajah 2.] (1 Mark/markah) (1 Mark/markah) (C4,CO4,PO2) (iii) Analyze the operation of the register circuit in the Figure 2 for the given input waveforms in Appendix 2. Assume that the initial condition is Q3Q2Q1Q0 = Construct the output waveforms using Appendix 2. Consider the given CLR input. [Analisa operasi litar daftar di Rajah 2 bagi gelombang masukan yang diberikan di Lampiran 2. Andaikan bahawa keadaan awal adalah Q 3Q 2Q 1Q 0 = Binakan bentuk gelombang keluaran menggunakan Lampiran 2. Pertimbangkan masukan CLR yang diberikan.] (8 Marks/markah) 8/--

8 SULIT -8- (EKT124) Section B [Bahagian B] Answer ANY ONE (1) question in this section. [Jawab MANA-MANA SATU (1) soalan di bahagian ini.] Question 5 [Soalan 5] (C4,CO3,PO2) (a) Figure 3 shows a BCD counter that produces a four-bit output representing the BCD code for the number of pulses that have been applied to the counter input. For example, after four pulses have occurred, the counter outputs are DCBA = = 410. The counter resets to 0000 on the tenth pulse and starts counting over again. In other words, the DCBA outputs will never represent a number greater than = 910. Design the logic circuit that produces a HIGH output whenever the count is 2, 3 or 9. [Rajah 3 menunjukkan pembilang BCD yang menghasilkan keluaran empat-bit mewakili kod BCD untuk bilangan denyutan yang telah digunakan untuk masukan pembilang. Sebagai contoh, selepas empat denyutan berlaku, keluaran pembilang adalah DCBA = = Pembilang akan reset semula kepada 0000 pada denyutan yang kesepuluh dan mula mengira lagi. Dalam erti kata lain, keluaran DCBA tidak akan mewakili nombor yang lebih besar daripada = Rekabentuk litar logik yang menghasilkan keluaran TINGGI ketika kiraan adalah 2, 3 atau 9.] Figure 3 [Rajah 3] (i) Derive the Truth Table for the system shown in Figure 3. [Terbitkan Jadual Kebenaran untuk sistem yang ditunjukkan di Rajah 3.] (ii) Derive the simplified Boolean expression using Karnaugh-Maps (K-Maps). [Terbitkan penyataan Boolean yang paling ringkas menggunakan Peta Karnaugh (Peta-K).] (iii) Construct the complete circuit based on the expression in (ii). [Bina sebuah litar lengkap berdasarkan pernyataan di (ii).] 9/--

9 SULIT -9- (EKT124) (C5,CO4,PO2,PO11) (b) Figure 4 shows a state diagram of a Gray code up counter. Design the counter using D flipflop. [Rajah 4 menunjukkan gambarajah keadaan bagi pembilang menaik kod kelabu. Rekabentuk pembilang tersebut menggunakan flip-flop D.] Counting up (Y=1) [Kiraan menaik (Y=1)] Figure 4 [Rajah 4] (i) Derive the State Table for the counter in Figure 4. [Terbitkan Jadual Keadaan untuk pembilang di Rajah 4.] (ii) Derive the simplified Boolean expression for each flip-flop using Karnaugh-Maps (K-Maps). [Terbitkan penyataan Boolean yang paling ringkas untuk setiap flip-flop menggunakan Peta Karnaugh (Peta-K).] (iii) Construct the complete circuit based on the expression in (ii). [Bina sebuah litar lengkap berdasarkan pernyataan di (ii).] 10/--

10 SULIT -10- (EKT124) Question 6 [Soalan 6] (C4,CO3,PO2) (a) Figure 5 shows four switches that are part of the control circuitry in a copy machine. The switches are at various points along the path of the copy paper as the paper passes through the machine. Each switch is normally open, and as the paper passes over a switch, the switches closes. It is impossible for SW 1 and SW4 to be closed at the same time. Design the logic circuit to produce a HIGH output whenever two or more switches are closed at the same time. [Rajah 5 menunjukkan empat suis yang merupakan sebahagian daripada litar kawalan di dalam mesin salinan. Suis berada di pelbagai poin sepanjang perjalanan semasa proses salinan kertas yang melalui mesin. Setiap suis biasanya terbuka, dan apabila kertas melepasi suis, suis ditutup. Ia adalah mustahil untuk SW 1 dan SW4 ditutup pada masa yang sama. Reka bentuk litar logik untuk menghasilkan keluaran TINGGI apabila dua atau lebih suis ditutup pada masa yang sama.] Figure 5 [Rajah 5] (i) Derive the Truth Table for the system in Figure 5. [Terbitkan Jadual Kebenaran untuk sistem di Rajah 5.] (ii) (iii) Derive the simplified Boolean expression using Karnaugh-Maps (K-Maps). [Terbitkan penyataan Boolean yang paling ringkas menggunakan Peta Karnaugh (Peta-K).] Construct the complete circuit based on expression in (ii). [Bina sebuah litar lengkap berdasarkan pernyataan di (ii).] 11/--

11 SULIT -11- (EKT124) (C5,CO4,PO2,PO11) (b) Figure 6 shows a state diagram of a Gray code down counter. Design the counter using T flip-flop. [Rajah 6 menunjukkan gambarajah keadaan bagi pembilang menurun kod kelabu. Rekabentuk pembilang tersebut menggunakan flip-flop T.] Counting down (Y=0) [Kiraan menurun(y=0)] Figure 6 [Rajah 6] (i) Derive the State Table for the counter in Figure 6. [Terbitkan Jadual Keadaan untuk pembilang di Rajah 6.] (ii) Derive the simplified Boolean expression for each flip-flop using Karnaugh-Maps (K-Maps). [Terbitkan penyataan Boolean yang paling ringkas untuk setiap flip-flop menggunakan Peta Karnaugh (Peta-K).] (iii) Construct the complete circuit based on expression in (ii). [Bina sebuah litar lengkap berdasarkan pernyataan di (ii).] 12/--

12 SULIT -12- (EKT124) Appendix 1: [Lampiran 1:] Angka Giliran: No. Meja: A B C D 13/--

13 SULIT -13- (EKT124) Appendix 2: [Lampiran 2:] Angka Giliran: No. Meja: CLK D0 D1 D2 D3 CLR -ooo0ooo-

14 SULIT -14- (EKT124) Transition Table for a D flip-flop [Jadual Peralihan untuk flip-flop D.] Q(t) Q(t+1) DR Transition Table for a T flip-flop [Jadual Peralihan untuk flip-flop T.] Q(t) Q(t+1) DR

15 SULIT -15- (EKT124) Course Outcomes (COs) CO1 CO2 CO3 CO4 Ability to identify different numbering systems and to understand basic theory of binary system. Ability to apply method of minimizing Boolean functions for digital logic circuit. Ability to design and evaluate combinational logic circuit in terms of Boolean function. Ability to design and evaluate sequential logic circuit in terms of Boolean function. Program Outcomes (POs) PO 01 PO 02 PO 03 PO 04 PO 05 PO 06 PO 07 PO 08 PO 09 PO 10 PO 11 PO 12 Ability to acquire and apply knowledge of mathematics, science, engineering and an in-depth technical competence in computer engineering discipline to solve the complex engineering problem Ability to identify, formulate and solve complex engineering problems. Ability to design solutions for complex engineering problems and systems, components or processes to meet desired needs. Ability to conduct investigation into complex problems as well as to analyze and interpret data. Ability to use techniques, skills and modern engineering tools necessary for complex engineering practices so as to be easily adaptable to industrial needs. Understanding of the social, cultural, global and environmental responsibilities of a professional engineer. Ability to have entrepreneurship, the process of innovation and the need for environmental and sustainable development. Ability to understand the professional and ethical responsibilities and commitment to the community. Ability to function on multi-disciplinary teams. Ability to communicate effectively on complex engineering activities with the engineering community and with society at large A Recognition of the need for, and an ability to engage in life-long learning Demonstrate the understanding of project management and finance principles

UNIVERSITI MALAYSIA PERLIS. EKT 124 Digital Electronics 1 [Electronik Digit 1]

UNIVERSITI MALAYSIA PERLIS. EKT 124 Digital Electronics 1 [Electronik Digit 1] UNIVERSITI MALAYSIA PERLIS Peperiksaan Semester Kedua Sidang Akademik 2013/2014 May 2014 EKT 124 Digital Electronics 1 [Electronik Digit 1] Duration : 3 hours Masa : 3 jam Please make sure that this paper

More information

UNIVERSITI MALAYSIA PERLIS. EKT 124 Elektronik Digit 1 [Digital Electronics1]

UNIVERSITI MALAYSIA PERLIS. EKT 124 Elektronik Digit 1 [Digital Electronics1] UNIVERSITI MALAYSIA PERLIS Peperiksaan Semester Kedua Sidang Akademik 2015/2016 Jun 2016 EKT 124 Elektronik Digit 1 [Digital Electronics1] Duration : 3 hours Masa : 3 jam Please make sure that this paper

More information

UNIVERSITI MALAYSIA PERLIS. PLT106 Digital Electronics [Elektronik Digital]

UNIVERSITI MALAYSIA PERLIS. PLT106 Digital Electronics [Elektronik Digital] UNIVERSITI MALAYSIA PERLIS Peperiksaan Akhir Semester Kedua Sidang Akademik 2016/2017 Jun 2017 PLT106 Digital Electronics [Elektronik Digital] Masa : 3 jam Please make sure that this question paper has

More information

UNIVERSITI MALAYSIA PERLIS. DMT 233 Digital Fundamental II [Asas Digit II]

UNIVERSITI MALAYSIA PERLIS. DMT 233 Digital Fundamental II [Asas Digit II] UNIVERSITI MALAYSIA PERLIS Peperiksaan Semester Pertama Sidang Akademik 2013/2014 Oktober 2013 DMT 233 Digital Fundamental II [Asas Digit II] Masa: 3 jam Please make sure that this question paper has FIFTEEN

More information

UNIVERSITI SAINS MALAYSIA. First Semester Examination. 2014/2015 Academic Session. December 2014/January 2015

UNIVERSITI SAINS MALAYSIA. First Semester Examination. 2014/2015 Academic Session. December 2014/January 2015 UNIVERSITI SAINS MALAYSIA First Semester Examination 2014/2015 Academic Session December 2014/January 2015 EEE 130 DIGITAL ELECTRONIC I [ELEKTRONIK DIGIT I] Duration : 3 hours [Masa : 3 jam] Please check

More information

DEE2034: DIGITAL ELECTRONICS

DEE2034: DIGITAL ELECTRONICS SECTION B : 60 MARS BAHAGIAN B : 60 MARAH INSTRUCTION: This section consists of FOUR (4) structured questions. Answer ALL questions. ARAHAN: Bahagian ini mengandungi EMPAT (4) soalan berstruktur. awab

More information

INSTRUCTION: This section consists of FOUR (4) structured questions. Answer ALL questions.

INSTRUCTION: This section consists of FOUR (4) structured questions. Answer ALL questions. SECTION B : 60 MARKS BAHAGIAN B : 60 MARKAH INSTRUCTION: This section consists of FOUR (4) structured questions. Answer ALL questions. ARAHAN: Bahagian ini mengandungi EMPAT (4) soalan berstruktur. Jawab

More information

INSTRUCTION: This section consists of FOUR (4) structured questions. Answer ALL questions.

INSTRUCTION: This section consists of FOUR (4) structured questions. Answer ALL questions. SECTION B: 60 MARKS BAHAGIAN B: 60 MARKAH INSTRUCTION: This section consists of FOUR (4) structured questions. Answer ALL questions. ARAHAN: Bahagian ini mengandungi EMPAT (4) soalan berstruktur. Jawab

More information

EEU 202 ELEKTRONIK UNTUK JURUTERA

EEU 202 ELEKTRONIK UNTUK JURUTERA UNIVERSITI SAINS MALAYSIA Peperiksaan Semester Pertama Sidang Akademik 2007/2008 Oktober/November 2007 EEU 202 ELEKTRONIK UNTUK JURUTERA Masa : 3 Jam Sila pastikan kertas peperiksaan ini mengandungi LIMABELAS

More information

UNIVERSITI MALAYSIA PERLIS. EET107 Digital Electronics I [Elektronik Digit I]

UNIVERSITI MALAYSIA PERLIS. EET107 Digital Electronics I [Elektronik Digit I] UNIVERSITI MALAYSIA PERLIS Peperiksaan Semester Kedua Sidang Akademik 2011/2012 Jun 2012 EET107 Digital Electronics I [Elektronik Digit I] Masa : 3 jam Please make sure that this question paper has FIFTEEN

More information

UNIVERSITI SAINS MALAYSIA EEE 230 ELEKTRONIK DIGIT II

UNIVERSITI SAINS MALAYSIA EEE 230 ELEKTRONIK DIGIT II UNIVERSITI SAINS MALAYSIA Peperiksaan Semester Kedua Sidang Akademik 2009/2010 April 2010 EEE 230 ELEKTRONIK DIGIT II Masa : 3 Jam Sila pastikan bahawa kertas peperiksaan ini mengandungi TUJUHBELAS muka

More information

UNIVERSITI SAINS MALAYSIA. Second Semester Examination 2012/2013 Academic Session. June 2013 EEE 130 DIGITAL ELECTRONIC I [ELEKTRONIK DIGIT I]

UNIVERSITI SAINS MALAYSIA. Second Semester Examination 2012/2013 Academic Session. June 2013 EEE 130 DIGITAL ELECTRONIC I [ELEKTRONIK DIGIT I] UNIVERSITI SAINS MALAYSIA Second Semester Examination 2012/2013 Academic Session June 2013 EEE 130 DIGITAL ELECTRONIC I [ELEKTRONIK DIGIT I] Duration : 3 hours [Masa : 3 jam] Please check that this examination

More information

EEE ELEKTRONIK DIGIT I

EEE ELEKTRONIK DIGIT I UNIVERSITI SAINS MALAYSIA Peperiksaan Semester Pertama Sidang Akademik 23/24 September/Oktober 23 EEE 3 - ELEKTRONIK DIGIT I Masa: 3jam ARAHAN KEPADA CALON: Sila pastikan bahawa kertas peperiksaan ini

More information

IEG 102 INTRODUCTION TO ENVIRONMENTAL TECHNOLOGY [PENGANTAR TEKNOLOGI PERSEKITARAN]

IEG 102 INTRODUCTION TO ENVIRONMENTAL TECHNOLOGY [PENGANTAR TEKNOLOGI PERSEKITARAN] UNIVERSITI SAINS MALAYSIA Second Semester Examination 2010/2011 Academic Session April/May 2011 IEG 102 INTRODUCTION TO ENVIRONMENTAL TECHNOLOGY [PENGANTAR TEKNOLOGI PERSEKITARAN] Duration: 3 hours Masa:

More information

INTRODUCTION OF INDEXED PUBLICATION SEMAKAN PENERBITAN RADIS KATEGORI INDEXED PUBLICATION

INTRODUCTION OF INDEXED PUBLICATION SEMAKAN PENERBITAN RADIS KATEGORI INDEXED PUBLICATION INTRODUCTION OF INDEXED PUBLICATION 1. INDEXED PUBLICATION TERBAHAGI KEPADA 3 JENIS PENERBITAN: i. ARTICLE IN SCOPUS ii. ARTICLE IN WEB OF SCIENCE (WOS) iii. ESSENTIAL RESEARCH AUSTRALIA (ERA) 2. TERDAPAT

More information

HBT 502 PRINSIP DAN KAEDAH TERJEMAHAN LANJUTAN

HBT 502 PRINSIP DAN KAEDAH TERJEMAHAN LANJUTAN UNIVERSITI SAINS MALAYSIA Peperiksaan Semester Pertama Sidang Akademik 2006/2007 Oktober/November 2006 HBT 502 PRINSIP DAN KAEDAH TERJEMAHAN LANJUTAN Masa: 2 jam Sila pastikan bahawa kertas peperiksaan

More information

(a) Tidak melebihi 500 patah perkataan (b) Ditulis dalam Bahasa Malaysia dan Bahasa Inggeris

(a) Tidak melebihi 500 patah perkataan (b) Ditulis dalam Bahasa Malaysia dan Bahasa Inggeris Lampiran A Attachment A FORMAT PENYEDIAAN TESIS/DISERTASI/LAPORAN PENYELIDIKAN FORMAT OF PREPARING THESIS/DISSERTATION/RESEARCH REPORT 1. TAJUK (a) Tajuk hendaklah tajuk penyelidikan seperti diluluskan

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 2/4 ELEKTRONIK DIGIT Kolej Universiti Kejuruteraan Utara Malaysia Sequential Logic Circuits - COUNTERS - LATCHES (review) S-R R Latch S-R R Latch Active-LOW input INPUTS OUTPUTS S R Q Q COMMENTS Q

More information

UNIVERSITI SAINS MALAYSIA. Peperiksaan Semester Pertama Sidang Akademik 2002/2003

UNIVERSITI SAINS MALAYSIA. Peperiksaan Semester Pertama Sidang Akademik 2002/2003 UNIVERSITI SAINS MALAYSIA Peperiksaan Semester Pertama Sidang Akademik 2002/2003 HBT 100 - Pengenalan Teori dan Praktik Terjemahan Masa : 3 jam ARAHAN : 1. Sila pastikan bahawa kertas peperiksaan ini mengandungi

More information

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Find the equivalent decimal value for the given value Other number system to decimal ( Sample) VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI 65 009 Department of Information Technology Model Exam-II-Question bank PART A (Answer for all Questions) (8 X = 6) K CO Marks Find the equivalent

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A SET - 1 Note: Question Paper consists of two parts (Part-A and Part-B) Answer ALL the question in Part-A Answer any THREE Questions from Part-B a) What are the characteristics of 2 s complement numbers?

More information

OPERASI PERKHIDMATAN SOKONGAN. PERPUSTAKAAN SULTAN ABDUL SAMAD Kod Dokumen: OPR/PSAS/GP01/ILB GARIS PANDUAN IDENTIFIKASI DAN MELABEL BAHAN

OPERASI PERKHIDMATAN SOKONGAN. PERPUSTAKAAN SULTAN ABDUL SAMAD Kod Dokumen: OPR/PSAS/GP01/ILB GARIS PANDUAN IDENTIFIKASI DAN MELABEL BAHAN Halaman: 1/12 1.0 TUJUAN Memberi panduan dalam menjalankan proses identifikasi dan melabel semua bahan yang diterima di Perpustakaan. Proses ini menunjukkan yang bahan berkenaan adalah milik Perpustakaan.

More information

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053 SET - 1 1. a) What are the characteristics of 2 s complement numbers? b) State the purpose of reducing the switching functions to minimal form. c) Define half adder. d) What are the basic operations in

More information

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1.

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1. [Question 1 is compulsory] 1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. Figure 1.1 b) Minimize the following Boolean functions:

More information

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering Semester III Subject Name: Digital Electronics Subject Code: 09CT0301 Diploma Branches in which this subject is offered: Objective: The subject aims to prepare the students, To understand the basic of

More information

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1 DAY MODU LE TOPIC QUESTIONS Day 1 Day 2 Day 3 Day 4 I Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation Phase Shift Wein Bridge oscillators.

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

UNIVERSITI SAINS MALAYSIA. CMT222/CMM321 Systems Analysis & Design [Analisis & Reka Bentuk Sistem]

UNIVERSITI SAINS MALAYSIA. CMT222/CMM321 Systems Analysis & Design [Analisis & Reka Bentuk Sistem] UNIVERSITI SAINS MALAYSIA Second Semester Examination 2014/2015 Academic Session June 2015 CMT222/CMM321 Systems Analysis & Design [Analisis & Reka Bentuk Sistem] Duration : 2 hours [Masa : 2 jam] INSTRUCTIONS

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

UNIVERSITI TEKNOLOGI MALAYSIA

UNIVERSITI TEKNOLOGI MALAYSIA SULIT Faculty of Computing UNIVERSITI TEKNOLOGI MALAYSIA FINAL EXAMINATION SEMESTER I, 2016 / 2017 SUBJECT CODE : SUBJECT NAME : SECTION : TIME : DATE/DAY : VENUES : INSTRUCTIONS : Answer all questions

More information

INSTRUCTION: This section consists of FOUR [4] structured questions. Answer ALL questions.

INSTRUCTION: This section consists of FOUR [4] structured questions. Answer ALL questions. INSTRUCTION: This section consists of FOUR [4] structured questions. Answer ALL questions. ARAHAN: Bahagian ini mengandungi EMPAT [4] soalan struktur. Jawab SEMUA soalan. QUESTION 1 SOALAN 1 CLO1 C2 a)

More information

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2 Course Plan Semester: 4 - Semester Year: 2019 Course Title: DIGITAL ELECTRONICS Course Code: EC106 Semester End Examination: 70 Continuous Internal Evaluation: 30 Lesson Plan Author: Ms. CH SRIDEVI Last

More information

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 2065 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 Time: 3 hours. Candidates are required to give their answers in their own words as for as practicable. Attempt any TWO questions:

More information

DETERMINISTIC AUTOMATIC TEST PATTERN GENERATION FOR BUILT-IN SELF TEST SYSTEM

DETERMINISTIC AUTOMATIC TEST PATTERN GENERATION FOR BUILT-IN SELF TEST SYSTEM DETERMINISTIC AUTOMATIC TEST PATTERN GENERATION FOR BUILT-IN SELF TEST SYSTEM By MUHAMMAD NAZIR MOHAMMED KHALID Thesis Submitted to the School of Graduate Studies,, in Fulfilment of the Requirement for

More information

Department of Computer Science and Engineering Question Bank- Even Semester:

Department of Computer Science and Engineering Question Bank- Even Semester: Department of Computer Science and Engineering Question Bank- Even Semester: 2014-2015 CS6201& DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common to IT & CSE, Regulation 2013) UNIT-I 1. Convert the following

More information

St. MARTIN S ENGINEERING COLLEGE

St. MARTIN S ENGINEERING COLLEGE St. MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electronics and Communication Engineering : II B. Tech I Semester : SWITCHING THEORY AND LOGIC

More information

THE APPLICATION OF FINITE ELEMENT METHOD IN BURGERS EQUATION NURUL AKIDAH BINTI ADNAN

THE APPLICATION OF FINITE ELEMENT METHOD IN BURGERS EQUATION NURUL AKIDAH BINTI ADNAN THE APPLICATION OF FINITE ELEMENT METHOD IN BURGERS EQUATION NURUL AKIDAH BINTI ADNAN A report submitted in partial fulfilment of the requirements for the award of degree of Master of Science (Engineering

More information

ROAD SHOW PENERBITAN BOOK CHAPTERS

ROAD SHOW PENERBITAN BOOK CHAPTERS ROAD SHOW PENERBITAN BOOK CHAPTERS 1 April 2015 2.00 p.m 5.00 p.m PBL 1, Fakulti Kejuruteraan Awam Objektif Taklimat Memberi kefahaman tentang gerak kerja, mekanisma dan proses kerja pelaksanaan penulisan

More information

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic COURSE TITLE : DIGITAL INSTRUMENTS PRINCIPLE COURSE CODE : 3075 COURSE CATEGORY : B PERIODS/WEEK : 4 PERIODS/SEMESTER : 72 CREDITS : 4 TIME SCHEDULE MODULE TOPICS PERIODS 1 Number system & Boolean algebra

More information

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M CSE-4523 Latches and Flip-flops Dr. Izadi NOR gate property: A B Z A B Z Cross coupled NOR gates: S M S R M R S M R S R S R M S S M R R S ' Gate R Gate S R S G R S R (t+) S G R Flip_flops:. S-R flip-flop

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

VU Mobile Powered by S NO Group

VU Mobile Powered by S NO Group Question No: 1 ( Marks: 1 ) - Please choose one A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register.

More information

ENHANCED ASPECT LEVEL OPINION MINING KNOWLEDGE EXTRACTION AND REPRESENTATION MAQBOOL RAMDHAN IBRAHIM AL-MAIMANI UNIVERSITI TEKNOLOGI MALAYSIA

ENHANCED ASPECT LEVEL OPINION MINING KNOWLEDGE EXTRACTION AND REPRESENTATION MAQBOOL RAMDHAN IBRAHIM AL-MAIMANI UNIVERSITI TEKNOLOGI MALAYSIA ENHANCED ASPECT LEVEL OPINION MINING KNOWLEDGE EXTRACTION AND REPRESENTATION MAQBOOL RAMDHAN IBRAHIM AL-MAIMANI UNIVERSITI TEKNOLOGI MALAYSIA 2 ENHANCED ASPECT LEVEL OPINION MINING KNOWLEDGE EXTRACTION

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7).

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7). VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603203 DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Academic Year: 2015-16 BANK - EVEN SEMESTER UNIT I PART-A 1 Find the octal equivalent of hexadecimal

More information

Universal Asynchronous Receiver- Transmitter (UART)

Universal Asynchronous Receiver- Transmitter (UART) Universal Asynchronous Receiver- Transmitter (UART) (UART) Block Diagram Four-Bit Bidirectional Shift Register Shift Register Counters Shift registers can form useful counters by recirculating a pattern

More information

Sequential Logic. Analysis and Synthesis. Joseph Cavahagh Santa Clara University. r & Francis. TaylonSi Francis Group. , Boca.Raton London New York \

Sequential Logic. Analysis and Synthesis. Joseph Cavahagh Santa Clara University. r & Francis. TaylonSi Francis Group. , Boca.Raton London New York \ Sequential Logic Analysis and Synthesis Joseph Cavahagh Santa Clara University r & Francis TaylonSi Francis Group, Boca.Raton London New York \ CRC is an imprint of the Taylor & Francis Group, an informa

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and Computer Design Fundamentals Chapter 5 Sequential Circuits Part 2 Sequential Circuit Design Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

THE INFLUENCE OF THE DISCORD IN BUILDING DISTINCTIVNESS ON THE PERCEPTION OF TEHRAN S CITY IDENTITY

THE INFLUENCE OF THE DISCORD IN BUILDING DISTINCTIVNESS ON THE PERCEPTION OF TEHRAN S CITY IDENTITY THE INFLUENCE OF THE DISCORD IN BUILDING DISTINCTIVNESS ON THE PERCEPTION OF TEHRAN S CITY IDENTITY ASMAA RABIEE A thesis submitted in fulfilment of the requirements for the award of the degree of Doctor

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true.

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true. EXPERIMENT: 1 DATE: VERIFICATION OF BASIC LOGIC GATES AIM: To verify the truth tables of Basic Logic Gates NOT, OR, AND, NAND, NOR, Ex-OR and Ex-NOR. APPARATUS: mention the required IC numbers, Connecting

More information

8-BITS X 8-BITS MODIFIED BOOTH 1 S COMPLEMENT MULTIPLIER NORAFIZA SALEHAN

8-BITS X 8-BITS MODIFIED BOOTH 1 S COMPLEMENT MULTIPLIER NORAFIZA SALEHAN 8-BITS X 8-BITS MODIFIED BOOTH 1 S COMPLEMENT MULTIPLIER by NORAFIZA SALEHAN Report submitted in partial fulfillment of the requirements for the degree of Bachelor of Engineering (Electronic Enginering)

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

The word digital implies information in computers is represented by variables that take a limited number of discrete values.

The word digital implies information in computers is represented by variables that take a limited number of discrete values. Class Overview Cover hardware operation of digital computers. First, consider the various digital components used in the organization and design. Second, go through the necessary steps to design a basic

More information

Subject : EE6301 DIGITAL LOGIC CIRCUITS

Subject : EE6301 DIGITAL LOGIC CIRCUITS QUESTION BANK Programme : BE Subject : Semester / Branch : III/EEE UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES Review of number systems, binary codes, error detection and correction codes (Parity

More information

AM AM AM AM PM PM PM

AM AM AM AM PM PM PM FACULTY OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING COURSE PLAN Course Code : CS0003 Course Title : DIGITAL COMPUTER FUNDAMENTALS Semester : III Course Time : Jun 204 to

More information

Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008

Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008 Page 1 Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008 Instructions: This examination paper includes 13 pages and 20 multiple-choice questions starting on page 3. You are responsible for ensuring

More information

4.S-[F] SU-02 June All Syllabus Science Faculty B.Sc. II Yr. Instrumentation Practice [Sem.III & IV] S.Lot

4.S-[F] SU-02 June All Syllabus Science Faculty B.Sc. II Yr. Instrumentation Practice [Sem.III & IV] S.Lot [Sem.III & IV] S.Lot. - 1 - [Sem.III & IV] S.Lot. - 2 - [Sem.III & IV] S.Lot. - 3 - Syllabus B.Sc. ( Instrumentation Practice ) Second Year ( Third and Forth Semester ) ( Effective from June 2014 ) [Sem.III

More information

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW QUICK GUIDE http://www.tutorialspoint.com/computer_logical_organization/computer_logical_organization_quick_guide.htm COMPUTER LOGICAL ORGANIZATION - OVERVIEW Copyright tutorialspoint.com In the modern

More information

Laboratory Objectives and outcomes for Digital Design Lab

Laboratory Objectives and outcomes for Digital Design Lab Class: SE Department of Information Technology Subject Logic Design Sem : III Course Objectives and outcomes for LD Course Objectives: Students will try to : COB1 Understand concept of various components.

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

PURBANCHAL UNIVERSITY

PURBANCHAL UNIVERSITY [c] Implement a full adder circuit with a decoder and two OR gates. [4] III SEMESTER FINAL EXAMINATION-2006 Q. [4] [a] What is flip flop? Explain flip flop operating characteristics. [6] [b] Design and

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Counters Chapter 8 A System: Digital Clock Digital Clock: Counter Logic Diagram Digital Clock: Hours Counter & Decoders Finite State Machines Moore machine: One

More information

SEMESTER ONE EXAMINATIONS 2002

SEMESTER ONE EXAMINATIONS 2002 SEMESTER ONE EXAMINATIONS 2002 EE101 Digital Electronics Solutions Question 1. An assembly line has 3 failsafe sensors and 1 emergency shutdown switch. The Line should keep moving unless any of the following

More information

ABSTRAK. Modeling Language (UML) yang terdiri dar i use cases, gambaraj ah aktiviti,

ABSTRAK. Modeling Language (UML) yang terdiri dar i use cases, gambaraj ah aktiviti, ABSTRAK Tuj uan kaj ian ini dij alankan adalah untuk menibentuk sebuah model keperluan bagi Sistem Maklumat Bil Air (BILIS) untuk Cawangan Bekalan Air (WSB), Jabatan Kerja Raya Negeri Kedah (PWD). Disebabkan

More information

North Shore Community College

North Shore Community College North Shore Community College Course Number: IEL217 Section: MAL Course Name: Digital Electronics 1 Semester: Credit: 4 Hours: Three hours of Lecture, Two hours Laboratory per week Thursdays 8:00am (See

More information

UNlVERSITI MALAYSIA PERLIS. Peperiksaan Semester Pertama Sidang Akademik Januari 2013

UNlVERSITI MALAYSIA PERLIS. Peperiksaan Semester Pertama Sidang Akademik Januari 2013 UNlVERSITI MALAYSIA PERLIS Peperiksaan Semester Pertama Sidang Akademik 2223 Januari 23 EKT22 - Digital Electronics II [Elektronik Digit IT] Masa : 3jam Please make sure that this question paper has ELEVEN

More information

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No. 6.1.2 Sample Test Papers: Sample Test Paper 1 Roll No. Institute Name: Course Code: EJ/EN/ET/EX/EV/IC/IE/IS/MU/DE/ED/ET/IU Subject: Principles of Digital Techniques Marks: 25 1 Hour 1. All questions are

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad ELECTRICAL AND ELECTRONICS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad ELECTRICAL AND ELECTRONICS ENGINEERING Course Name INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK : SWITCHING THEORY AND LOGIC DESISN Course Code : A40407

More information

Minnesota State College Southeast

Minnesota State College Southeast ELEC 2211: Digital Electronics II A. COURSE DESCRIPTION Credits: 4 Lecture Hours/Week: 2 Lab Hours/Week: 4 OJT Hours/Week: *.* Prerequisites: None Corequisites: None MnTC Goals: None Minnesota State College

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

CHAPTER 6 COUNTERS & REGISTERS

CHAPTER 6 COUNTERS & REGISTERS CHAPTER 6 COUNTERS & REGISTERS 6.1 Asynchronous Counter 6.2 Synchronous Counter 6.3 State Machine 6.4 Basic Shift Register 6.5 Serial In/Serial Out Shift Register 6.6 Serial In/Parallel Out Shift Register

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers.

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. Digital computer is a digital system that performs various computational tasks. The word DIGITAL

More information

Come and join us at WebLyceum

Come and join us at WebLyceum Come and join us at WebLyceum For Past Papers, Quiz, Assignments, GDBs, Video Lectures etc Go to http://www.weblyceum.com and click Register In Case of any Problem Contact Administrators Rana Muhammad

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Department of CSIT Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Section A: (All 10 questions compulsory) 10X1=10 Very Short Answer Questions: Write

More information

Introduction to Digital Electronics

Introduction to Digital Electronics Introduction to Digital Electronics by Agner Fog, 2018-10-15. Contents 1. Number systems... 3 1.1. Decimal, binary, and hexadecimal numbers... 3 1.2. Conversion from another number system to decimal...

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

1.b. Realize a 5-input NOR function using 2-input NOR gates only.

1.b. Realize a 5-input NOR function using 2-input NOR gates only. . [3 points] Short Questions.a. Prove or disprove that the operators (,XOR) form a complete set. Remember that the operator ( ) is implication such that: A B A B.b. Realize a 5-input NOR function using

More information

15e. RAK History and Theory of Architecture 2 (Sejarah dan Teori Seni Bina 2)

15e. RAK History and Theory of Architecture 2 (Sejarah dan Teori Seni Bina 2) UNIVERSITI SAINS MALAYSIA First Semester Examination Academic Session 200812009 November 2008 RAK 442 - History and Theory of Architecture 2 (Sejarah dan Teori Seni Bina 2) Duration: 3 hours (Masa: 3 jam)

More information

LATCHES & FLIP-FLOP. Chapter 7

LATCHES & FLIP-FLOP. Chapter 7 LATCHES & FLIP-FLOP Chapter 7 INTRODUCTION Latch and flip flops are categorized as bistable devices which have two stable states,called SET and RESET. They can retain either of this states indefinitely

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES 1. Briefly explain the stream lined method of converting binary to decimal number with example. 2. Give the Gray code for the binary number (111) 2. 3.

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Digital Logic: Recap - Review: truth table => SOP => simplification - dual / complement - Minterm / Maxterm - SOP

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops DLHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 0 Experiment - Latches and Flip-Flops Objectives:. To implement an RS latch memory element. To implement a JK

More information

PERSEPSI PELAJAR TERHADAP KUALITI PERSEKITARAN DALAMAN (IEQ) PERPUSTAKAAN RABIYATUL AKMA BINTI DAUT

PERSEPSI PELAJAR TERHADAP KUALITI PERSEKITARAN DALAMAN (IEQ) PERPUSTAKAAN RABIYATUL AKMA BINTI DAUT PERSEPSI PELAJAR TERHADAP KUALITI PERSEKITARAN DALAMAN (IEQ) PERPUSTAKAAN RABIYATUL AKMA BINTI DAUT Projek Sarjana Ini Dikemukakan Sebagai Memenuhi Sebahagian Daripada Syarat Penganugerahan Ijazah Sarjana

More information

A.R. ENGINEERING COLLEGE, VILLUPURAM ECE DEPARTMENT

A.R. ENGINEERING COLLEGE, VILLUPURAM ECE DEPARTMENT .R. ENGINEERING COLLEGE, VILLUPURM ECE EPRTMENT QUESTION BNK SUB. NME: IGITL ELECTRONICS SUB. COE: EC223 SEM: III BRNCH/YER: ECE/II UNIT-I MINIMIZTION TECHNIQUESN LOGIC GTES PRT- ) efine Minterm & Maxterm.

More information