Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Size: px
Start display at page:

Download "Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper."

Transcription

1 Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing cost for many of today s most advanced ICs and system-on-chip (SoC) devices. A major component of total test cost is the time and resources required for test program development. While test development tools currently exist for translating a device s functional events and scan patterns into test programs for target ATE, today s growing device complexity and new manufacturing requirements have presented new challenges. For example, identification and specification of critical timing parameters that require conversion into cycle-based ATE formats have become an increasing cost factor. This can also significantly impact test accuracy. Only a few years ago, timing specifications from microprocessor and IP cores, multiple bus types, and other device components could often be established through published timing specifications and by a manageable, iterative process between design and test engineering. Automatic test pattern generation (ATPG) tools in the structural testing arena deal with simple timing, and hence, are able to generate cycle-based timing, but today s complex SoCs may consist of over 60 IP cores, while scan chains and scan depth have dramatically increased with Moore s law. In addition, as device performance grew, the timing impact from logic simulators increasingly needed to be factored into test program translation. Further complicating test program development is the need for compatibility with multiple ATE platforms to accommodate global manufacturing strategies. Next-generation design-to-test tools have to address these and other factors to help reduce the growing cost-of-test. Tools must support standard industry test languages, support both functional events and scan patterns, as well as validate outputs across multiple ATE platforms. Automating the timing discovery process and correction for simulation biases are also required. This paper will provide an overview of the state of automated test program generation and discuss the latest tools and techniques that are available to help speed complex devices to market. The paper will also identify the current areas where continued work is required. --o-- Time has changed. One thing is constant: Consumers are more and more demanding on product capabilities, quality, performance, and especially, competitive pricing. Packing more features into a shrinking chip geometry requires innovative technology and manufacturing process that are, not only enabling, but also cost effective. Testing these new devices, therefore, must also require breakthrough test tools and techniques that could anticipate the exponentially increasing functionality of a chip but lessoning accessibility to its embedded cores. Traditional testing techniques are becoming too timing consuming, costly, or even impossible to achieve adequate coverage. Today s advanced ICs and system-on-chip (SoC) devices are experiencing test costs at as much as 50 percent of total manufacturing cost. Many research and development effort are underway to address the cost containment. One major component of total test cost is the time and resources required for test program development. This paper describes the advancement in test program development acceleration with new test tools and methodologies.

2 Design-to-Test is Often Perceived as a Straight-Forward Process For many years, devices are tested on automated test equipment (ATE) with functional pattern sets. These pattern sets are either hand generated, or translated from functional simulation log files. De-Facto standards have been established in processes as well as test languages to transform free-form simulation print-on-change events into timing and pattern sets used by the highly synchronized world of cycle-based ATE systems, a process known as cyclization. Plus, ATE specific programming syntax and rules must be conformed in order to produce the same waveform that reflects the behavior of the device under test (DUT). The ATE program assigns ATE pins, formats, voltage, timing, pattern, and other tester resources to fully exercise the DUT for functional test coverage. This process is well understood and can be performed by many point tools, scripts, and low-cost software packages. Multiple ATE Re-targeting Consideration The cyclization process becomes more complicated when test teams needed to target a design on more than one ATE platforms because some testers are more suitable for testing a particular SoC device than the other. This can due to several major reasons: tester capabilities, cost, and in the old good days, availability. Test development tools now need to provide selection flexibility by having robust ATE re-targeting capability. Whether the test department is a single-person organization, is outsourced, or comprises teams of specialists and owns multiple testers, it is crucial that the time and money invested in the test program development should not be duplicated due to ATE selection because the cyclization step, when done write, should not be tester dependent, and need not be repeated. ATE re-targeting is now a very simple vector translation process. Various EDA formats Support On the other hand, the simulation source could be in various formats, especially in an SoC scenario where multiple cores could have been produced by Cadence NC-Sim, ModelSim, Synopsys VCD, Mentor Fastscan, Synopsys Tetramax, TI-TDL, Mentor Quicksim, and by many other EDA tools. Design-to-test process should start with a normalization step before cyclizing, thereby, modularizing language parsing from the cyclization step, which no longer depends on simulator formats. Multi-Cored SoCs Complicate Design-to-Test Processes Optimize Device Timing Across Multiple Cores Intellectual Property (IP) cores can be implemented with different test strategies. Some come with test patterns. But most come with multiple functional simulation outputs that require cyclization optimized for ATE test resource and production test time because multi-cored SoC devices can easily approach or exceed the ATE physical limitation. Optimization requires timing accumulation among hundreds of functional simulations for one core, and among hundreds from other cores of the same SoC. Manual processing is no longer feasible to construct quality timing. Pattern Compression to Fit ATE Pattern Memory Recent device patterns are increasing in sizes due to two factors: patterns from multiple cores as mentioned above; and BIST patterns that are approaching gigabytes in size but mostly contain idling cycles waiting for the embedded circuitry to finish its operations. Two types of pattern compressions are needed in data transfer/storage of these large files and in reducing pattern processing time.

3 Most tools are recently enhanced with standard file compression algorithm such as Gnu Zip or WinZip. Large BIST or ATPG output files can be compressed by one of these tools before transfer to test department. Test tools will then need to be able to read these compressed files for design-to-test analysis and ATE outputs. The second type of compression is the traditionally known as repeats, loops, and subroutines pattern compression techniques implemented by the ATE vendors themselves. Repeat compression is simply a pattern row with ATE specific micro-code to indicates the number of repetition the tester must apply the pattern row to the DUT. This is often used to compress the idling cycles in the BIST patterns. Similarly, Loop compression repeats a number of pattern rows a number of times. Finally, Subroutine compression is a technique ATE vendors used to store a block of patterns that performs a particular functionality is a separate ATE memory, which interleaves with the tester s standard memory each time the subroutine is invoked via the ATE specific subroutine micro-code. These pattern compression techniques should be supported automatically in design-totest tools for processing large volume of data for most SoC applications in the market today. Handle Test Strategies from High-Speed and Mixed-Signal Applications Most telecommunication and networking devices have high-speed pins exceeding 2GHz range that few ATE models reach. While logic Built-in-self-test (BIST) technology alleviates some of the at-speed demands, analog BIST technology allows digital testers to test analog components such as Phase-Lock-Loops (PLL) and Converters (ADCs and DACs). This helps contain the cost of expensive high performance mixed-signal ATEs, but test development process must now have tools to integrate patterns from these logic and analog BIST simulations, which often produce large volume of data and cause issues associated with large physical file sizes exceeding 32-bit OS file system boundary (2 Gigabytes) and bogs down processing time to an excruciating pace. So with the quest for the latest methodology in test development to keep pace with the demanding designs and consumer ICs, new design-to-test automation software tools must address all of the needs mentioned above. New techniques for design-to-test process acceleration and quality Developing tests for a single functional core is simple and straightforward. A clock is a clock is a clock. Tester has a format just for that. The simple translation process, therefore, has been automated in various forms, whenever and by whomever capable in the test department. Many sharewares are even available for downloads via the web. Applications with simple timing can be translated on a cycle-by-cycle basis without the possibility of exceeding tester timing resource, and optimization is not necessary. Figure 1 shows how mis-aligned transactions that are insignificant in logic simulation can consume ATE precious timeset resource unnecessarily.

4 Figure 1. Misaligned Transitions Consumes Precious ATE TimeSets Therefore, the key is to first, transform a multi-functional core problem into a single functional core problem. This technique is an advancement based on the need to break up the design-to-test development process into two sequential steps: cyclization and ATE targeting. New Cyclization Techniques Automate Timing Entry and Accumulate Timing across Multiple Cores Cyclization often starts by post-processing a simulation log file. The main objective is to get the device timing and pattern that are ready for ATE consumption. Test engineers usually face with three situations: 1) The timing is known; 2) The timing is unknown; or 3) The timing is partially known. When the timing is known, the test engineer will need to specify the timing so that the cyclization tool can use to find, or match, the timing behavior in the simulation file, and extract the pattern information required by the tester. When the timing is unknown, the test engineer must either obtain the timing from the designer, guess the timing, or rely on the cyclization tool to discover the timing. Advanced cyclization techniques will automate the timing process for all three situations mentioned above, and also, able to accumulate timing across multiple cores so that the final timing set presenting to the ATE targeting step is the single-functional-core problem to solve. Auto Timing Discovery Test engineers should not have to re-enter device timing that is already available in the designers simulation output files. o For even a typical pin device, it is time-consuming and error prone. Recent techniques auto discover timing from simulation files intuitively presenting the timing selection to the test engineers for final test timing generation. All edge transitions with proximities within a user specified tolerance will be automatically combined; thereby, optimizing timeset usage. All primitive waveforms are combined into more complex representative waveforms; thereby, optimizing format usage.

5 This is possible when an analytical process is performed on the entire simulation file. Each waveform behavior of the device within a cycle is stored into a hash table for fast access and a reduction algorithm is applied with respect to a target ATE s shape and timing rules, if an ATE is specified by the user. Partial Timing Discovery When device datasheet timing is available for critical signals such as those described in the simple Read Cycle (Figure 1), users can make a replica of the datasheet timing via intuitive timing editor or spreadsheet timing expressions. The tool will read the timing diagram, fill in the rest of the device signal behavior referencing the waveform hash table, and convert all waveforms to ATE programming statements that would reproduce the device behavior. The advantages of the Automatic and Partial Timing Discovery capabilities are: - Not having to re-capture timing which is already there, and thereby, avoid duplicate work and potential human errors - Users can visualize any simulation artifacts that could violates test rules - Users can visualize any variation between simulation outputs and true device timing specification - Users can select the correct timing, or refine the timing to spec in a minimal effort for ATE targeting - If timing specification is desired, users only need to specify the important device signals often find in the design datasheet, and the test development tool will fill in the rest by discovery the unspecified signal timing from the input simulation file - Shape Promotion is possible when all compatible waveform shapes in the hash table are combined whenever possible to optimize ATE resource usage, thereby, getting the best test time and fits bigger tests onto a physically resource limited ATE. - Progressive Timing Refinement. When working with multiple simulation output files of the same device, the cyclization step incrementally accumulates timing so that common timing are reused across functional patterns, or pattern bursts. Simulation groups with drifting edges can be automated aligned as long as they are within a user-specified tolerance. ATE Targeting and Re-targeting As mentioned above, when the test development process is broken up to two steps: the cyclization step to transform simulation events into ATE neutral cycle-based data with multiple-core timing correlated, the second step is a simple vector translation process. ATE targeting is now a simple waveform to tester format mapping. ATE re-targeting no longer needs to start from the simulation event file, but at the cycled-based stage. Bridging BIST test strategies with ATE

6 Most BIST techniques seen going to test development today require functional simulations that often result in huge simulation cycles waiting for BIST circuitry to complete its operations. File compression and ATE pattern compression techniques are seen used in recent design-to-test tools. Furthermore, software memory management techniques are used in the tools to work around 32-bit physical file size limitation imposed by the operation system that the software runs on. What seems to work best are the BIST designs that utilize IEEE standard interface. Through this interface, test development software can support any kinds of BISTs: logic, memory, and analog. Plus, this enables external access to BIST circuitry in an SoC via as little as 5 ATE digital pins (Figure 2). Figure 2. Test patterns generated from simulation of BIST tests via IEEE Interface Future Work Validation must be in an integral part of this flow. Otherwise, inevitable designto-test defects will surface during expensive ATE testing, or worse yet, in the field. Feedback loop Failure analysis becomes simple when design-to-test tools record relevant design information as it converts design data to test. Mixed-signal Most M/S patterns are generated by hand. The challenge remains in an automation of instrument initialization, setup, and synchronization with digital subsystems. # # # Copyright Test Systems Strategies, Inc. All rights reserved.

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University Outline State of the Art for

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

ADVANCES in semiconductor technology are contributing

ADVANCES in semiconductor technology are contributing 292 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 3, MARCH 2006 Test Infrastructure Design for Mixed-Signal SOCs With Wrapped Analog Cores Anuja Sehgal, Student Member,

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Digital Audio Design Validation and Debugging Using PGY-I2C

Digital Audio Design Validation and Debugging Using PGY-I2C Digital Audio Design Validation and Debugging Using PGY-I2C Debug the toughest I 2 S challenges, from Protocol Layer to PHY Layer to Audio Content Introduction Today s digital systems from the Digital

More information

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM A M S D E S I G N & V E R I F I C A T I O N W H I T E P A P

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Certus TM Silicon Debug: Don t Prototype Without It by Doug Amos, Mentor Graphics

Certus TM Silicon Debug: Don t Prototype Without It by Doug Amos, Mentor Graphics Certus TM Silicon Debug: Don t Prototype Without It by Doug Amos, Mentor Graphics FPGA PROTOTYPE RUNNING NOW WHAT? Well done team; we ve managed to get 100 s of millions of gates of FPGA-hostile RTL running

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Co-simulation Techniques for Mixed Signal Circuits

Co-simulation Techniques for Mixed Signal Circuits Co-simulation Techniques for Mixed Signal Circuits Tudor Timisescu Technische Universität München Abstract As designs grow more and more complex, there is increasing effort spent on verification. Most

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Using SignalTap II in the Quartus II Software

Using SignalTap II in the Quartus II Software White Paper Using SignalTap II in the Quartus II Software Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.1, helps reduce verification

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm Technical Publication esigns with Multiple Clock omains: Avoiding Clock Skew and Reducing Pattern Count Using FTAdvisor tm and FastScan tm Mentor Graphics Corporation March 2001 www.mentor.com/dft esigns

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Simple motion control implementation

Simple motion control implementation Simple motion control implementation with Omron PLC SCOPE In todays challenging economical environment and highly competitive global market, manufacturers need to get the most of their automation equipment

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

Equivalence Checking using Assertion based Technique

Equivalence Checking using Assertion based Technique Equivalence Checking using Assertion based Technique Shailesh Kumar NIT Bhopal Sameer Arvikar DAVV Indore Saurabh Jha STMicroelectronics, Greater Noida Tarun K. Gupta, PhD Asst. Professor NIT Bhopal ABSTRACT

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled FSM Cookbook 1. Introduction Tau models describe the timing and functional information of component interfaces. Timing information specifies the delay in placing values on output signals and the timing

More information

Agilent E4430B 1 GHz, E4431B 2 GHz, E4432B 3 GHz, E4433B 4 GHz Measuring Bit Error Rate Using the ESG-D Series RF Signal Generators, Option UN7

Agilent E4430B 1 GHz, E4431B 2 GHz, E4432B 3 GHz, E4433B 4 GHz Measuring Bit Error Rate Using the ESG-D Series RF Signal Generators, Option UN7 Agilent E4430B 1 GHz, E4431B 2 GHz, E4432B 3 GHz, E4433B 4 GHz Measuring Bit Error Rate Using the ESG-D Series RF Signal Generators, Option UN7 Product Note Introduction Bit-error-rate analysis As digital

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Advances in VLSI Testing at MultiGb per Second Rates

Advances in VLSI Testing at MultiGb per Second Rates SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 2, No. 1, May 2005, 43-55 Advances in VLSI Testing at MultiGb per Second Rates Dragan Topisiroviç 1 Abstract: Today's high performance manufacturing of digital

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

4. Formal Equivalence Checking

4. Formal Equivalence Checking 4. Formal Equivalence Checking 1 4. Formal Equivalence Checking Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin Verification of Digital Systems Spring

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Receiver Testing to Third Generation Standards. Jim Dunford, October 2011

Receiver Testing to Third Generation Standards. Jim Dunford, October 2011 Receiver Testing to Third Generation Standards Jim Dunford, October 2011 Agenda 1.Introduction 2. Stressed Eye 3. System Aspects 4. Beyond Compliance 5. Resources 6. Receiver Test Demonstration PCI Express

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing 25 IEEE 2rd North Atlantic Workshop Multivalued Logic for Reduced Pin Count and Multi-Site SoC ing Baohu Li and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University,

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features 6.25 Gbps multi-rate, multi-lane, SerDes macro IP Data brief Txdata1_in Tx1_clk Bist1 Rxdata1_out Rx1_clk Txdata2_in Tx2_clk Bist2 Rxdata2_out Rx2_clk Txdata3_in Tx3_clk Bist3 Rxdata3_out Rx3_clk Txdata4_in

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Benchtop Portability with ATE Performance

Benchtop Portability with ATE Performance Benchtop Portability with ATE Performance Features: Configurable for simultaneous test of multiple connectivity standard Air cooled, 100 W power consumption 4 RF source and receive ports supporting up

More information

Fieldbus Testing with Online Physical Layer Diagnostics

Fieldbus Testing with Online Physical Layer Diagnostics Technical White Paper Fieldbus Testing with Online Physical Layer Diagnostics The significant benefits realized by the latest fully automated fieldbus construction & pre-commissioning hardware, software

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Integration of Virtual Instrumentation into a Compressed Electricity and Electronic Curriculum

Integration of Virtual Instrumentation into a Compressed Electricity and Electronic Curriculum Integration of Virtual Instrumentation into a Compressed Electricity and Electronic Curriculum Arif Sirinterlikci Ohio Northern University Background Ohio Northern University Technological Studies Department

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Behavioral Modeling of a Charge Pump Voltage Converter for SoC Functional Verification Purposes

Behavioral Modeling of a Charge Pump Voltage Converter for SoC Functional Verification Purposes Behavioral Modeling of a Charge Pump Voltage Converter for SoC Functional Verification Purposes Dalia H. El-Ebiary Mohamed A. Dessouky Hassan El-Ghitani Mentor Graphics Mentor Graphics Misr International

More information

Digitizing and Sampling

Digitizing and Sampling F Digitizing and Sampling Introduction................................................................. 152 Preface to the Series.......................................................... 153 Under-Sampling.............................................................

More information

Made- for- Analog Design Automation The Time Has Come

Made- for- Analog Design Automation The Time Has Come Pulsic Limited Made- for- Analog Design Automation The Time Has Come White Paper Mark Williams Co- Founder Pulsic A Brief History of Analog Design Automation Since its inception, most of the efforts and

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Dual Slope ADC Design from Power, Speed and Area Perspectives

Dual Slope ADC Design from Power, Speed and Area Perspectives Dual Slope ADC Design from Power, Speed and Area Perspectives Isaac Macwan, Xingguo Xiong, Lawrence Hmurcik Department of Electrical & Computer Engineering, University of Bridgeport, Bridgeport, CT 06604

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

MULTIPLE TPS REHOST FROM GENRAD 2235 TO S9100

MULTIPLE TPS REHOST FROM GENRAD 2235 TO S9100 MULTIPLE TPS REHOST FROM GENRAD 2235 TO S9100 AL L I A N C E S U P P O R T PAR T N E R S, I N C. D AV I D G U I N N ( D AV I D. G U I N N @ A S P - S U P P O R T. C O M ) L I N YAN G ( L I N. YAN G @ A

More information

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security Grace Li Zhang, Bing Li, Ulf Schlichtmann Chair of Electronic Design Automation Technical University of Munich (TUM)

More information

PRESS FOR SUCCESS. Meeting the Document Make-Ready Challenge

PRESS FOR SUCCESS. Meeting the Document Make-Ready Challenge PRESS FOR SUCCESS Meeting the Document Make-Ready Challenge MEETING THE DOCUMENT MAKE-READY CHALLENGE PAGE DESIGN AND LAYOUT TEXT EDITS PDF FILE GENERATION COLOR CORRECTION COMBINING DOCUMENTS IMPOSITION

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

High Performance TFT LCD Driver ICs for Large-Size Displays

High Performance TFT LCD Driver ICs for Large-Size Displays Name: Eugenie Ip Title: Technical Marketing Engineer Company: Solomon Systech Limited www.solomon-systech.com The TFT LCD market has rapidly evolved in the last decade, enabling the occurrence of large

More information

UVM Testbench Structure and Coverage Improvement in a Mixed Signal Verification Environment by Mihajlo Katona, Head of Functional Verification, Frobas

UVM Testbench Structure and Coverage Improvement in a Mixed Signal Verification Environment by Mihajlo Katona, Head of Functional Verification, Frobas UVM Testbench Structure and Coverage Improvement in a Mixed Signal Verification Environment by Mihajlo Katona, Head of Functional Verification, Frobas In recent years a number of different verification

More information

Logic Analyzer Triggering Techniques to Capture Elusive Problems

Logic Analyzer Triggering Techniques to Capture Elusive Problems Logic Analyzer Triggering Techniques to Capture Elusive Problems Efficient Solutions to Elusive Problems For digital designers who need to verify and debug their product designs, logic analyzers provide

More information

DESIGN FOR TESTABILITY

DESIGN FOR TESTABILITY DESIGN FOR TESTABILITY Raimund Ubar raiub@pld.ttu.ee Design for Testability Lectures Testability of Digital Systems Design for Testability Methods BIST/BISD Practical Works Two laboratory works Course

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

Technology Scaling Issues of an I DDQ Built-In Current Sensor

Technology Scaling Issues of an I DDQ Built-In Current Sensor Technology Scaling Issues of an I DDQ Built-In Current Sensor Bin Xue, D. M. H. Walker Dept. of Computer Science Texas A&M University College Station TX 77843-3112 Tel: (979) 862-4387 Email: {binxue, walker}@cs.tamu.edu

More information

Low-speed serial buses are used in wide variety of electronics products. Various low-speed buses exist in different

Low-speed serial buses are used in wide variety of electronics products. Various low-speed buses exist in different Low speed serial buses are widely used today in mixed-signal embedded designs for chip-to-chip communication. Their ease of implementation, low cost, and ties with legacy design blocks make them ideal

More information

Your partner in testing the Internet of Things

Your partner in testing the Internet of Things Your partner in testing the Internet of Things The power of testing in all phases of the product lifecycle The majority of devices sensors, actors, gateways building the Internet of Things (IoT) use wireless

More information

FIFO Memories: Solution to Reduce FIFO Metastability

FIFO Memories: Solution to Reduce FIFO Metastability FIFO Memories: Solution to Reduce FIFO Metastability First-In, First-Out Technology Tom Jackson Advanced System Logic Semiconductor Group SCAA011A March 1996 1 IMPORTANT NOTICE Texas Instruments (TI) reserves

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information

A. Chatterjee, Georgia Tech

A. Chatterjee, Georgia Tech VALIDATION, TESTING AND TUNING OF MIXED-SIGNAL/RF CIRCUITS AND SYSTEMS: A MACHINE LEARNING ASSISTED APPROACH A. Chatterjee, Georgia Tech GRAs: S. Deyati, B. Muldrey, S.Akbay, V. Natarajan, R. Senguttuvan,

More information

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics - Introduction to JTAG / boundary scan-based testing for 3D integrated systems (C) 2011 - GOEPEL Electronics - www.goepelusa.com Who is GOEPEL? World Headquarters: GÖPEL electronic GmbH Göschwitzer Straße

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Avoiding False Pass or False Fail

Avoiding False Pass or False Fail Avoiding False Pass or False Fail By Michael Smith, Teradyne, October 2012 There is an expectation from consumers that today s electronic products will just work and that electronic manufacturers have

More information

Efficient Trace Signal Selection for Post Silicon Validation and Debug

Efficient Trace Signal Selection for Post Silicon Validation and Debug Efficient Trace Signal Selection for Post Silicon Validation and Debug Kanad Basu and Prabhat Mishra Computer and Information Science and Engineering University of Florida, ainesville FL 32611-6120, USA

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Troubleshooting EMI in Embedded Designs White Paper

Troubleshooting EMI in Embedded Designs White Paper Troubleshooting EMI in Embedded Designs White Paper Abstract Today, engineers need reliable information fast, and to ensure compliance with regulations for electromagnetic compatibility in the most economical

More information

Implementation of Scan Insertion and Compression for 28nm design Technology

Implementation of Scan Insertion and Compression for 28nm design Technology Implementation of Scan Insertion and Compression for 28nm design Technology 1 Mohan PVS, 2 Rajanna K.M 1 PG Student, Department of ECE, Dr. Ambedkar Institute of Technology, Bengaluru, India 2 Associate

More information

THE LXI IVI PROGRAMMING MODEL FOR SYNCHRONIZATION AND TRIGGERING

THE LXI IVI PROGRAMMING MODEL FOR SYNCHRONIZATION AND TRIGGERING THE LXI IVI PROGRAMMIG MODEL FOR SCHROIZATIO AD TRIGGERIG Lynn Wheelwright 3751 Porter Creek Rd Santa Rosa, California 95404 707-579-1678 lynnw@sonic.net Abstract - The LXI Standard provides three synchronization

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing

BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing By Olivier Artur (Alcatel CIT), Christophe Lotz (ASTER Ingénierie) and Peter de Bruyn Kops (Acugen Software, Inc.)

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information