Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Size: px
Start display at page:

Download "Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures"

Transcription

1 Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs) using various architectures of the Inverse Discrete Cosine Transform (IDCT). To compare FPGA architectures of different vendors, a generic FPGA model is developed and used in architecture independent modelling software. LUTs with three inputs yield the best results in terms of area when mapping the IDCT architectures to LUTs of different sizes. After placing and routing, FPGAs with a granularity of eight or sixteen LUTs and flip- flops per logic block were most efficient in terms of area and speed.. 1. Introduction Due to the increasing economic importance of image processing, the demands on image and video signal processing procedures are getting higher and higher. It is important to evaluate the influences of modifications of algorithm parameters on the image quality at an early stage and under real-time conditions. The traditional use of software simulation for the verification of algorithms and circuits generally cannot meet these demands. Field Programmable Gate Arrays (FPGAs), based on Look-Up Tables (LUTs) which are configured by Static RAM cells, provide a well-suited alternative for a reprogrammable and real-time implementation of signal processing procedures. This paper presents an investigation of commercial LUT based FPGAs for their use in image processing. The Inverse Discrete Cosine Transform (IDCT) is an important element of video image processing schemes, i.e. H.263 [1] or MPEG [2], and its implementation is used in this project as an example of a typical image processing algorithm. To compare FPGAs of different vendors, dedicated programmes for architecture independent modelling of FPGAs is used instead of vendor specific software tools to map the IDCT implementations into the LUTs and to place and route the logic blocks. It is therefore necessary to develop a generic FPGA model and an appropriate design flow. 2. Implementations of the IDCT Two different architectures of a two dimensional IDCT (2-D IDCT) are implemented in this project. They are both based on the Row-Column approach which splits the 8x8 IDCT into two 8x1 IDCTs and a matrix transposition. The wordwidth of the data is 12 bits at the input and 9 bits at the output of the circuit, as used in the H.263 codec [1]. The first IDCT architecture is a so called Fast IDCT () based on the algorithm of Zhang and Bergmann [3]. It uses only 11 multiplications and 29 additions for a 1-D IDCT and has a data rate of eight pixels per clock cycle. The second IDCT architecture uses Distributed Arithmetic and is based on an algorithm of Sun, Wu, and Liou [4]. No multipliers are needed to perform the transform. The data rate is one pixel per clock cycle. 3. Design Mapping 3.1. Design Flow The design flow used in this project from hardware description in Verilog HDL to placing and routing is shown in Figure 3-1. The Logic Synthesis is performed by the Synopsys FPGA Compiler [5]. The result is a Xilinx Gate Netlist (name.xnf) without any logic hierarchies. Since all Xilinx specific elements are removed and, therfore, the netlist 1

2 only contains the gates used and its connections, it is still architecture independent. HDL Description Gate Netlist LUT Size K LUT Netlist Cluster Size N Partitioned LUT Netlist FPGA Data Placement Data Routing Data Logic Synthesis FPGA Compiler LUT Synthesis SIS, RASP Clustering VPACK Place & Route VPR Figure 3-1 Design Flow name.v name.xnf # LUTs name.blif # Clusters name.net Statistics name.p name.r To map the gates used for the implementation of the IDCT architectures into the LUTs of the FPGA logic blocks (Clusters), a logic synthesis system for SRAM based FPGAs called RASP (RApid Systems Prototyping) [6] is used. RASP contains a number of synthesis and optimisation algorithms for technology independent logic synthesis and to transform gate netlists into LUTs of various sizes. The input file for RASP is a Xilinx netlist of the circuit which is then transformed into a BLIF file (Berkeley Logic Interchange Format). RASP contains SIS [7], an interacting tool for synthesis and optimisation of sequential circuits which separates the BLIF file into combinatoric and sequential logic. The combinatoric part of the design, represented as an acyclic graph, is now mapped into LUTs with K inputs. For this LUT synthesis, different algorithms, all based on FlowMap [8], can be used to optimise the design for area, speed, or a trade-off of both. The result is a BLIF file which contains the circuit description as a K- input LUT netlist for a given K. The last steps in the design flow are the partitioning of LUTs with K inputs into certain logic blocks (Clustering) and the following placement and routing of the logic blocks (Clusters) on the FPGA. The two tools VPACK [9] and VPR (Versatile Place and Route) [9] are used in this project to perform these tasks. VPACK packs the LUTs and flip-flops of the circuit into Clusters. The number of LUTs and flip-flops per Cluster (N) must be the same for all Clusters. The number of different inputs per Cluster (I) can be smaller than K*N. Afterwards, the VPR tool places the circuit onto an FPGA and tries to route it with a minimum number of wires (Tracks) per routing channel. FPGA specific features of the different routing architectures of the FPGAs examined in this project are needed as an input of the tool. The Simulated Annealing algorithm [10] is used for global routing in order to keep connected clusters as close to each other as possible. The detailed routing, where the nets are distributed on routing channels, is based on the Pathfinder Algorithm [9]. Output data of the tool are statistics like the number of Tracks per channel, average and maximum net length, and the average and maximum number of bends per net. This data can be used to distinguish between different FPGAs in terms of area and speed efficiency. The routing results can also be displayed by the graphic output of the VPR tool Generic FPGA Model Due to the limitations of the modelling software, not all of the features of different FPGAs can be examined. Therefore, a simple generic model needed to be developed and used. K Inputs Clock K-LUT D-FF Figure 3-2 Basic Logic Element (BLE) Output 2

3 One LUT with K inputs (K-LUT) and a flipflop is combined to a so called Basic Logic Element (BLE), as shown in Figure 3-2. One logic block, or Logic Cluster, consists of N BLEs which are connected to each other. Another parameter is the number of inputs per Cluster (I). As shown in Figure 3-3, not all of the K*N LUT inputs need to be accessible from the outside of the logic block. I Inputs Clock BLE 1 BLE N Figure 3-3 Logic Cluster N Outputs The Logic Clusters are aligned in rows and columns on the FPGA. The number of rows and columns must be equal. Logic Clusters and Input / Output Blocks (IOBs) are connected by Tracks which surround the logic blocks in channels. There are Switch Blocks at the junction of two channels as shown in Figure 3-4. All routing segments have the same length. Inside a Switch Block, every segment can be connected to three other segments which is also the case in most commercial FPGAs. Every input or output of a logic block can be connected to any track in the routing channel. Track Figure 3-4 Switch Block Programmable Switch There are a number of restrictions in the FPGA model. All LUTs have the same number of inputs and the number of LUTs and the number of flip-flops per Cluster is equal. Due to the limitations of the modelling tools, special features like additional RAM blocks or non square architectures could not be considered. Furthermore, no timing analysis is possible with the software used. 4. Investigated FPGAs Table 4-1 shows the LUT based FPGAs investigated in this project and their parameters used in the modelling tools. K is the number of inputs per LUT, N the number of LUTs and flip-flops per Cluster, I the number of inputs per Cluster, and IO Rate the number of IOBs at the end of every row or column of Clusters. The parameter I must be smaller than or equal to K*N. FPGA K N I IO Rate AT 40K XC XC XC ORCA 2C ORCA 3C FLEX 8K VF Table 4-1 Investigated FPGAs Due to the limitations of the software, some of the parameters used differ from those of the actual FPGAs. The Atmel 40K is the finest grained FPGA with two 3-LUTs but only one flip-flop (therefore N=1, I=3) per Cluster. The logic block of a Xilinx XC3000 has one 5-input LUT, but two flip-flops and two outputs (therefore N=2). For the XC4000 model, only the two 4-LUTs could be used, since all LUTs have to have the same number of inputs (therefore K=4, N=2, I=8). Both the Xilinx XC5200 and the Lucent ORCA2C FPGA have four 4-LUTs per logic block, but the latter FPGA has only ten inputs per Cluster. There are eight 4-input LUTs in one Cluster of an ORCA3C and in one Cluster of an Altera FLEX8K. Since only FPGAs with the same number of Clusters per row and columns can be modelled, the Altera model differs immensly from the actual FPGA which has far more columns than rows of logic blocks. The most coarse grain FPGA architecture is the new Vantis VF1 which has been modelled with K=4 and N=16. 3

4 5. Results The LUT Synthesis using SIS [7] and RASP [6] was performed for both IDCT architectures and for LUTs of sizes from two to nine inputs. Figure 5-1 shows the number of LUTs with K inputs needed to implement the two IDCT architectures, dependent on K. # LUTs K K=2, the design has to be distributed into a great number of LUTs, whereas for K>3 more and more logic area is unused because not all inputs of the LUTs are needed. It should be noted that no routing costs and no flip-flop costs are included in those results. The statistics provided by the VPR tool are used to evaluate the results for the different FPGA models after partitioning, placing, and routing the IDCT implementations. The number of Tracks required to route the circuits onto the different FPGA models can be seen in Figure 5-3. Number of Tracks is the product of the number of Clusters per row or column and (channel width +1) since all Clusters are surrounded by routing channels of the same width. Hence, the number of Tracks gives a fair cost function regarding the routing area. Figure 5-1 Results of LUT Synthesis (I) The number of LUTs needed to implement the IDCT architectures decreases with K increasing. The decrease is high for small values of K, whereas the number of LUTs remains almost constant for larger values of K. A better cost function for the logic area is given with the number of SRAM cells (#LUTs * 2 K ) which are needed to implement the designs into K-LUTs. This is shown in Figure 5-2. # Tracks VF1 FLEX 8K ORCA 3C ORCA 2C XC5200 XC4000 XC3000 AT 40K # SRAM cells Figure 5-3 Number of Tracks K Figure 5-2 Results of LUT Synthesis (II) The minimum logic area (number SRAM cells) is needed for LUTs with three inputs. For For both IDCT architectures, the smallest number of Tracks is needed for the fine grained AT40K FPGA. The relatively poor results of the XC3000 and FLEX8K models are mainly caused by the models restrictions in connecting Cluster inputs and outputs to only certain (not all four directions) routing channels. In general, the number of tracks is higher for more coarse grained FPGA architectures. Eventhough the number of Clusters per row or column is small if the number of LUTs per Cluster (N) is high, the number of Tracks per channel (channel width) is considerable higher in a coarse 4

5 grained architecture than in a fine grained FPGA. Eventhough the delay behaviour of different FPGA architectures cannot be modelled explicitely with the VPR tool, it can be approached using an appropriate cost function. The major share of the delay in an FPGA is caused by the capacitance and resistance of the programmable switches (pass transistors). Since the maximum net length between connected logic blocks is proportional to the number of switch boxes and therefore to the number of programmable switches, it can be used to approximate the delay of the design. The maximum net length for the different FPGA models for both IDCT implementations can be seen in Figure 5-4. Max. Net Length VF1 FLEX 8K ORCA 3C ORCA 2C XC5200 XC4000 XC3000 AT 40K Figure 5-4 Maximum Net Length One can see that the maximum net length and hence the largest delay exists for the finest grained FPGAs. The shortest delay is to be expected in the coarse grain Vantis VF1. The product of the number of Tracks (~ area) and the maximum net length (~ delay) is now used to get an overall cost function for the efficiency of the routing architectures of the different FPGA models. The result is shown in Figure 5-5. It can be seen that the model of the Lucent ORCA 3C is most efficient for the IDCT using Distributed Arithmetic, whereas the most coarse grain VF1 is best suited for the larger architecture of the Fast-IDCT. In general, coarse grain FPGAs seem to be more appropriate for applications like the IDCT. # Tracks * Max. Net Length (scaled) VF1 FLEX 8K ORCA 3C ORCA 2C XC5200 XC4000 XC3000 AT 40K Figure 5-5 # Tracks * Maximum Net Length 6. Conclusion In this project, commercial LUT based FPGAs were investigated for their use in image processing. Two architectures of the 2-D IDCT were used as examples for typical image processing algorithms. A generic FPGA model was developed to examine eight commercial FPGAs in an architecture independent design flow. The tools RASP and SIS were used to map the IDCT architectures into LUTs of various sizes, and VPACK and VPR were used to place and route the designs. With this project, there exists an entire design flow to analyse FPGAs using real circuits. It could be shown that LUTs with three inputs were best suited in terms of area for mapping the logic into look-up tables. The product of the number of routing tracks and the maximum net length were used to analyse the routing efficiency of the FPGAs. The most coarse grain FPGA models (eight or sixteen 4-input LUTs per logic block) yielded the best results. It could also be shown that the most appropriate granularity of an FPGA architecture depends on the implemented circuit. The granularity shoud be more coarse grain for larger circuits. 5

6 References [1] ITU-T Rec. H.263, Video Coding for Low Bit Rate Communication, Dec [2] ISO / IEC, Generic Coding of Moving Pictures and Associated Audio Systems, (MPEG-2 Systems Specification), ISO / IEC , Nov [3] J.Zhang, N.W.Bergmann, A New 8*8 Fast DCT Algorithm for Image Compression, IEEE Visual Signal Processing and Communications, Workshop Proceedings, Melbourne, Australia, Sep. 1993, pp [4] M.T.Sun,L.Wu, M.L.Liou, A Concurrent Architecture for VLSI Implementation of Discrete Cosine Transform, IEEE Trans. On Circuits and Systems, vol. CAS-34, No. 8, Aug. 1987, pp [5] Synopsys Inc., FPGA Compiler User Guide, v1998.2, [6] J.Cong, J.Peck, Y.Ding, RASP: A General Logic Synthesis System for SRAM-based FPGAs, Proc. ACM/ SIGDA Int. Symp. On FPGAs, Monterey, California, Feb. 1996, pp [7] E.M.Sentovich et al, SIS: A System for Sequential Circuit Synthesis, Tech. Report No. UCB/ERL M92/41, University of California, Berkeley, [8] J.Cong,Y.Ding, FlowMap: An Optimal Technology Mapping Algorithm for Delay Optimization in LUT Based FPGA Designs, IEEE Trans. On Computer-Aided Design, Vol.13(1), 1994, pp [9] V.Betz, J.Rose, VPR: A New Packing, Placement and Routing Tool for FPGA Research, 7 th Int. Workshop on Field-Programmable Logic, London, August 1997, pp [10] S.Kirkpatrick et.al., Optimization by Simulated Annealing, Science, May 1983, pp [10]Atmel Inc., AT40K FPGAs, [11]Xilinx Inc., The Programmable Logic Data Book, [12]Lucent Technologies Inc., ORCA Data Sheets, [13]Altera Inc., Data Book, [14]Vantis Inc., Vantis VF1 FPGA,

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Optimizing area of local routing network by reconfiguring look up tables (LUTs) Vol.2, Issue.3, May-June 2012 pp-816-823 ISSN: 2249-6645 Optimizing area of local routing network by reconfiguring look up tables (LUTs) Sathyabhama.B 1 and S.Sudha 2 1 M.E-VLSI Design 2 Dept of ECE Easwari

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004

288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 The Effect of LUT and Cluster Size on Deep-Submicron FPGA Performance and Density Elias Ahmed and Jonathan

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques

On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques Andy Yan, Rebecca Cheng, Steven J.E. Wilton Department of Electrical and Computer Engineering University

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

An Efficient Reduction of Area in Multistandard Transform Core

An Efficient Reduction of Area in Multistandard Transform Core An Efficient Reduction of Area in Multistandard Transform Core A. Shanmuga Priya 1, Dr. T. K. Shanthi 2 1 PG scholar, Applied Electronics, Department of ECE, 2 Assosiate Professor, Department of ECE Thanthai

More information

Exploring Architecture Parameters for Dual-Output LUT based FPGAs

Exploring Architecture Parameters for Dual-Output LUT based FPGAs Exploring Architecture Parameters for Dual-Output LUT based FPGAs Zhenghong Jiang, Colin Yu Lin, Liqun Yang, Fei Wang and Haigang Yang System on Programmable Chip Research Department, Institute of Electronics,

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Design of Memory Based Implementation Using LUT Multiplier

Design of Memory Based Implementation Using LUT Multiplier Design of Memory Based Implementation Using LUT Multiplier Charan Kumar.k 1, S. Vikrama Narasimha Reddy 2, Neelima Koppala 3 1,2 M.Tech(VLSI) Student, 3 Assistant Professor, ECE Department, Sree Vidyanikethan

More information

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 Design and Implementation of an Enhanced LUT System in Security Based Computation dama.dhanalakshmi 1, K.Annapurna

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Examples of FPLD Families: Actel ACT, Xilinx LCA, Altera MAX 5000 & 7000

Examples of FPLD Families: Actel ACT, Xilinx LCA, Altera MAX 5000 & 7000 Examples of FPL Families: Actel ACT, Xilinx LCA, Altera AX 5 & 7 Actel ACT Family ffl The Actel ACT family employs multiplexer-based logic cells. ffl A row-based architecture is used in which the logic

More information

FIELD programmable gate arrays (FPGA s) are widely

FIELD programmable gate arrays (FPGA s) are widely 276 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 6, NO. 2, JUNE 1998 Testing Configurable LUT-Based FPGA s Wei Kang Huang, Fred J. Meyer, Member, IEEE, Xiao-Tao Chen, and Fabrizio

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab CPU design and PLDs Tajana Simunic Rosing Source: Vahid, Katz 1 Lab #3 due Lab #4 CPU design Today: CPU design - lab overview PLDs Updates

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

A Novel Architecture of LUT Design Optimization for DSP Applications

A Novel Architecture of LUT Design Optimization for DSP Applications A Novel Architecture of LUT Design Optimization for DSP Applications O. Anjaneyulu 1, Parsha Srikanth 2 & C. V. Krishna Reddy 3 1&2 KITS, Warangal, 3 NNRESGI, Hyderabad E-mail : anjaneyulu_o@yahoo.com

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation Outline CPE 528: Session #12 Department of Electrical and Computer Engineering University of Alabama in Huntsville Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

More information

High Performance Carry Chains for FPGAs

High Performance Carry Chains for FPGAs High Performance Carry Chains for FPGAs Matthew M. Hosler Department of Electrical and Computer Engineering Northwestern University Abstract Carry chains are an important consideration for most computations,

More information

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida Reconfigurable Architectures Greg Stitt ECE Department University of Florida How can hardware be reconfigurable? Problem: Can t change fabricated chip ASICs are fixed Solution: Create components that can

More information

An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development

An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development V. Kalenteridis 1, H. Pournara 1, K. Siozios 2, K. Tatas 2, G. Koytroympezis 2, I. Pappas

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 5, July 2015, PP 1-7 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org An Application

More information

GlitchLess: An Active Glitch Minimization Technique for FPGAs

GlitchLess: An Active Glitch Minimization Technique for FPGAs GlitchLess: An Active Glitch Minimization Technique for FPGAs Julien Lamoureux, Guy G. Lemieux, Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver,

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process (Lec 11) From Logic To Layout What you know... Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process High-level design description

More information

RELATED WORK Integrated circuits and programmable devices

RELATED WORK Integrated circuits and programmable devices Chapter 2 RELATED WORK 2.1. Integrated circuits and programmable devices 2.1.1. Introduction By the late 1940s the first transistor was created as a point-contact device formed from germanium. Such an

More information

A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm

A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm Mustafa Parlak and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences Sabanci University, Tuzla, 34956, Istanbul, Turkey

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification

Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification by Ketan Padalia Supervisor: Jonathan Rose April 2001 Automatic Transistor-Level Design

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA Jeongbin Kim +822-2123-7826 xtankx123@yonsei.ac.kr Ki Tae Kim +822-2123-7826 ktkim1116@yonsei.ac.kr Eui-Young Chung +822-2123-5866

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

INTERMEDIATE FABRICS: LOW-OVERHEAD COARSE-GRAINED VIRTUAL RECONFIGURABLE FABRICS TO ENABLE FAST PLACE AND ROUTE

INTERMEDIATE FABRICS: LOW-OVERHEAD COARSE-GRAINED VIRTUAL RECONFIGURABLE FABRICS TO ENABLE FAST PLACE AND ROUTE INTERMEDIATE FABRICS: LOW-OVERHEAD COARSE-GRAINED VIRTUAL RECONFIGURABLE FABRICS TO ENABLE FAST PLACE AND ROUTE By AARON LANDY A THESIS PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN

More information

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright.

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright. This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright. The final version is published and available at IET Digital Library

More information

Latch-Based Performance Optimization for FPGAs. Xiao Teng

Latch-Based Performance Optimization for FPGAs. Xiao Teng Latch-Based Performance Optimization for FPGAs by Xiao Teng A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of ECE University of Toronto

More information

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA Abstract: The increased circuit complexity of field programmable gate array (FPGA) poses a major challenge

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Vinaykumar Bagali 1, Deepika S Karishankari 2 1 Asst Prof, Electrical and Electronics Dept, BLDEA

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

FPGA Hardware Resource Specific Optimal Design for FIR Filters

FPGA Hardware Resource Specific Optimal Design for FIR Filters International Journal of Computer Engineering and Information Technology VOL. 8, NO. 11, November 2016, 203 207 Available online at: www.ijceit.org E-ISSN 2412-8856 (Online) FPGA Hardware Resource Specific

More information

BIST-Based Diagnostics of FPGA Logic Blocks

BIST-Based Diagnostics of FPGA Logic Blocks To appear in Proc. International Test Conf., Nov. 1997 BIST-Based Diagnostics of FPGA Logic Blocks Charles Stroud, Eric Lee, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

The Stratix II Logic and Routing Architecture

The Stratix II Logic and Routing Architecture The Stratix II Logic and Routing Architecture David Lewis*, Elias Ahmed*, Gregg Baeckler, Vaughn Betz*, Mark Bourgeault*, David Cashman*, David Galloway*, Mike Hutton, Chris Lane, Andy Lee, Paul Leventis*,

More information

A Low Energy HEVC Inverse Transform Hardware

A Low Energy HEVC Inverse Transform Hardware 754 IEEE Transactions on Consumer Electronics, Vol. 60, No. 4, November 2014 A Low Energy HEVC Inverse Transform Hardware Ercan Kalali, Erdem Ozcan, Ozgun Mert Yalcinkaya, Ilker Hamzaoglu, Senior Member,

More information

An Efficient High Speed Wallace Tree Multiplier

An Efficient High Speed Wallace Tree Multiplier Chepuri satish,panem charan Arur,G.Kishore Kumar and G.Mamatha 38 An Efficient High Speed Wallace Tree Multiplier Chepuri satish, Panem charan Arur, G.Kishore Kumar and G.Mamatha Abstract: The Wallace

More information

Memory efficient Distributed architecture LUT Design using Unified Architecture

Memory efficient Distributed architecture LUT Design using Unified Architecture Research Article Memory efficient Distributed architecture LUT Design using Unified Architecture Authors: 1 S.M.L.V.K. Durga, 2 N.S. Govind. Address for Correspondence: 1 M.Tech II Year, ECE Dept., ASR

More information

SI-Studio environment for SI circuits design automation

SI-Studio environment for SI circuits design automation BULLETIN OF THE POLISH ACADEMY OF SCIENCES TECHNICAL SCIENCES, Vol. 60, No. 4, 2012 DOI: 10.2478/v10175-012-0087-5 ELECTRONICS SI-Studio environment for SI circuits design automation S. SZCZĘSNY, M. NAUMOWICZ,

More information

Designing an Efficient and Secured LUT Approach for Area Based Occupations

Designing an Efficient and Secured LUT Approach for Area Based Occupations Designing an Efficient and Secured LUT Approach for Area Based Occupations 1 D. Jahnavi, 2 Y. Ravikiran varma 1 M.Tech scholar, E.C.E, Sreenivasa institute of technology and management studies, Chittoor

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information

Optimization of FPGA Architecture for Uniform Random Number Generator Using LUT-SR Family

Optimization of FPGA Architecture for Uniform Random Number Generator Using LUT-SR Family Optimization of FPGA Architecture for Uniform Random Number Generator Using LUT-SR Family Rita Rawate 1, M. V. Vyawahare 2 1 Nagpur University, Priyadarshini College of Engineering, Nagpur 2 Professor,

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches 2018 IJSRST Volume 4 Issue 5 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Low Power and Area Efficient 256-bit Shift Register based on Pulsed es K.V.Janardhan 1,

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of Low Power and Area Efficient Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 3 Issue 8 ǁ August 2014 ǁ PP.36-48 Implementation of Low Power and Area Efficient Carry Select

More information

Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug

Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug Abstract We propose new hardware and software techniques for FPGA functional debug that leverage the inherent reconfigurability

More information

A Novel FPGA Architecture and an Integrated Framework of CAD Tools for Implementing Applications

A Novel FPGA Architecture and an Integrated Framework of CAD Tools for Implementing Applications IEICE TRANS. INF. & SYST., VOL.E88 D, NO.7 JULY 2005 1369 PAPER Special Section on Recent Advances in Circuits and Systems A Novel FPGA Architecture and an Integrated Framework of CAD Tools for Implementing

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS150, Spring 2011 Homework Assignment 2: Synchronous Digital Systems Review, FPGA

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

[Dharani*, 4.(8): August, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Dharani*, 4.(8): August, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPLEMENTATION OF ADDRESS GENERATOR FOR WiMAX DEINTERLEAVER ON FPGA T. Dharani*, C.Manikanta * M. Tech scholar in VLSI System

More information

Designing for High Speed-Performance in CPLDs and FPGAs

Designing for High Speed-Performance in CPLDs and FPGAs Designing for High Speed-Performance in CPLDs and FPGAs Zeljko Zilic, Guy Lemieux, Kelvin Loveless, Stephen Brown, and Zvonko Vranesic Department of Electrical and Computer Engineering University of Toronto,

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

Interframe Bus Encoding Technique for Low Power Video Compression

Interframe Bus Encoding Technique for Low Power Video Compression Interframe Bus Encoding Technique for Low Power Video Compression Asral Bahari, Tughrul Arslan and Ahmet T. Erdogan School of Engineering and Electronics, University of Edinburgh United Kingdom Email:

More information

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida CDA 4253 FPGA System Design FPGA Architectures Hao Zheng Dept of Comp Sci & Eng U of South Florida FPGAs Generic Architecture Also include common fixed logic blocks for higher performance: On-chip mem.

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL B.Sanjay 1 SK.M.Javid 2 K.V.VenkateswaraRao 3 Asst.Professor B.E Student B.E Student SRKR Engg. College SRKR Engg. College SRKR

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

Digital Systems Design

Digital Systems Design ECOM 4311 Digital Systems Design Eng. Monther Abusultan Computer Engineering Dept. Islamic University of Gaza Page 1 ECOM4311 Digital Systems Design Module #2 Agenda 1. History of Digital Design Approach

More information

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter International Journal of Emerging Engineering Research and Technology Volume. 2, Issue 6, September 2014, PP 72-80 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) LUT Design Using OMS Technique for Memory

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

OMS Based LUT Optimization

OMS Based LUT Optimization International Journal of Advanced Education and Research ISSN: 2455-5746, Impact Factor: RJIF 5.34 www.newresearchjournal.com/education Volume 1; Issue 5; May 2016; Page No. 11-15 OMS Based LUT Optimization

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University 18 643 Lecture 2: Basic FPGA Fabric James. Hoe Department of EE arnegie Mellon University 18 643 F17 L02 S1, James. Hoe, MU/EE/ALM, 2017 Housekeeping Your goal today: know enough to build a basic FPGA

More information

Fault Location in FPGA-Based Reconfigurable Systems

Fault Location in FPGA-Based Reconfigurable Systems Fault Location in FPGA-Based Reconfigurable Systems Subhasish Mitra, Philip P. Shirvani and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science

More information

BIST for Logic and Memory Resources in Virtex-4 FPGAs

BIST for Logic and Memory Resources in Virtex-4 FPGAs BIST for Logic and Memory Resources in Virtex-4 FPGAs Sachin Dhingra, Daniel Milton, and Charles E. Stroud Dept. of Electrical and Computer Engineering 200 Broun Hall, Auburn University, AL 36849-5201

More information

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Clock Tree Power Optimization of Three Dimensional VLSI System with Network Clock Tree Power Optimization of Three Dimensional VLSI System with Network M.Saranya 1, S.Mahalakshmi 2, P.Saranya Devi 3 PG Student, Dept. of ECE, Syed Ammal Engineering College, Ramanathapuram, Tamilnadu,

More information

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array American Journal of Applied Sciences 10 (5): 466-477, 2013 ISSN: 1546-9239 2013 M.I. Ibrahimy et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.466.477

More information

Modified Reconfigurable Fir Filter Design Using Look up Table

Modified Reconfigurable Fir Filter Design Using Look up Table Modified Reconfigurable Fir Filter Design Using Look up Table R. Dhayabarani, Assistant Professor. M. Poovitha, PG scholar, V.S.B Engineering College, Karur, Tamil Nadu. Abstract - Memory based structures

More information

Design and Implementation of Encoder for (15, k) Binary BCH Code Using VHDL

Design and Implementation of Encoder for (15, k) Binary BCH Code Using VHDL Design and Implementation of Encoder for (15, k) Binary BCH Code Using VHDL K. Rajani *, C. Raju ** *M.Tech, Department of ECE, G. Pullaiah College of Engineering and Technology, Kurnool **Assistant Professor,

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

FPGA Implementation of Viterbi Decoder

FPGA Implementation of Viterbi Decoder Proceedings of the 6th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Corfu Island, Greece, February 16-19, 2007 162 FPGA Implementation of Viterbi Decoder HEMA.S, SURESH

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji S.NO 2018-2019 B.TECH VLSI IEEE TITLES TITLES FRONTEND 1. Approximate Quaternary Addition with the Fast Carry Chains of FPGAs 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. A Low-Power

More information

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran 1 CAD for VLSI Design - I Lecture 38 V. Kamakoti and Shankar Balachandran 2 Overview Commercial FPGAs Architecture LookUp Table based Architectures Routing Architectures FPGA CAD flow revisited 3 Xilinx

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab FSMs Tajana Simunic Rosing Source: Vahid, Katz 1 Flip-flops Hardware Description Languages and Sequential Logic representation of clocks

More information

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Volume-6, Issue-3, May-June 2016 International Journal of Engineering and Management Research Page Number: 753-757 Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Anshu

More information

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3. International Journal of Computer Engineering and Applications, Volume VI, Issue II, May 14 www.ijcea.com ISSN 2321 3469 Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol

More information

Reconfigurable Neural Net Chip with 32K Connections

Reconfigurable Neural Net Chip with 32K Connections Reconfigurable Neural Net Chip with 32K Connections H.P. Graf, R. Janow, D. Henderson, and R. Lee AT&T Bell Laboratories, Room 4G320, Holmdel, NJ 07733 Abstract We describe a CMOS neural net chip with

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information