Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Size: px
Start display at page:

Download "Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line"

Transcription

1 Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface Programmable RGB channel width (8,10,12,14-bits etc.) *3 pixin (RGB) ASYNC FIFO pix vsync hsync val rdy FRAME SYNC LOGIC Highly versatile architecture supports direct connection to a wide range of video DACs and DVI/HDMI transceivers VSYNC,, CSYNC, DE and BLANKING outputs Fully programmable timing parameters Supports industry standard (VESA, CEA-861, ITU-R BT.656 etc.) and fully custom video modes (both progressive and interlaced formats) clk_a clk_b h_s, h_bp, h_fp, h_disp, v_s, v_bp, v_fp, v_disp, TIMING PARAMS *3 pix rdy VIDEO TIMING CONTROL vid_r vid_g vid_b vid_vsync_n vid_hsync_n vid_csync_n Future-proof design supports resolutions up to 2 16 x 2 16 pixels (8K video and above) vi_s, vi_bp, vi_fp, vi_disp, vi_frame vid_vblank vid_hblank vid_cblank Independent system and pixel clocks supporting frequencies of 400 MHz+ on basic FPGA platforms 1 vid en Compatible with all Zipcores video IP Cores intl start_field Applications Figure 1 Video timing generator architecture HD, UHD and SUHD next generation digital video Legacy (SD) and analogue video applications Computer monitors and flat-panel displays Digital TV and multimedia solutions Generic Parameters Generic name Description Type Valid Range Pixel width integer < 2 16 General Description The VID_TIMING_GEN IP Core is a fully configurable video timing generator with the ability to support any video resolution up to 2 16 x 2 16 pixels in size. The module is compatible with a wide range of video DACs, CODECs and transceivers and provides a flexible solution for displaying digital or analogue video on an external TV, monitor or flat panel display. The module is capable of clock speeds in excess of 400 MHz on some FPGA platforms, making it ideal for the latest generation HD and UHD video solutions. Input pixels and syncs are read on the rising edge of clk_a (the system clock) when and are both active. The input signal is coincident with the first active pixel in a frame and the signal is coincident with the first active pixel in a line. intl start_field Select progressive or Interlaced video Start generating interlaced video on an ODD or EVEN field boolean std_logic false = progressive video true = interlaced video 0 = start on odd field 1 = start on an even field (Note that these sync signals should not be confused with true video timing signals. Their purpose is to delineate the first pixel in a frame and the first pixel in a line only). After resynchronizing the input pixels to the pixel-clock domain (clk_b), the controller locks to the first frame (or field) of video. Once frame-lock is achieved, pixels are supplied on demand to the video timing control unit. This module generates the correct RGB video, sync and blanking information depending on the chosen timing parameters. 1 Xilinx 7-series used as a benchmark Copyright Download this VHDL Core Page 1 of 5

2 Pin-out Description Pin-out Description cont... Pin name I/O Description Active state clk_a in System clock rising edge clk_b in Pixel clock rising edge reset in Asynchronous reset low underflow out Indicates pixel underflow (synchronized to clk_b) h_s [150] in Horizontal sync pulse duration h_bp [150] in Horizontal back-porch duration h_fp [150] in Horizontal front-porch duration High h_disp [150] in Active pixels per line [150] in Duration of whole line v_s [150] in Vertical sync pulse v_bp [150] in Vertical back-porch v_fp [150] in Vertical front-porch v_disp [150] in Active lines per frame (or [150] in Duration of whole frame (or vi_s [150] in Vertical sync pulse 2 vi_bp [150] in Vertical back-porch vi_fp [150] in Vertical front-porch vi_disp [150] in Active lines per interlaced field #2 vi_frame [150] in Duration of whole interlaced field #2 Pin name I/O Description Active state pixin [*30] in RGB input pixel in Vertical sync pulse (coincident with first pixel of a frame or field) in Horizontal sync pulse (coincident with first pixel of a line) in Input pixel valid out Ready to accept input pixel (handshake signal) vid_r [ - 10] out Video out RED vid_g [ - 10] out Video out GREEN vid_b [ - 10] out Video out BLUE vid_vsync_n out Video VSYNC low vid_hsync_n out Video low vid_csync_n out Video CSYNC low vid_vblank out Video vertical BLANK vid_hblank out Video horizontal BLANK vid_cblank out Video composite BLANK vid en out Video DATA enable Programmable timing parameters The timing parameters determine the duration of the output video, syncs and blanking. They also determine the relative position of the active video signal between syncs. Timing parameters are specified as an integer number of pixels (or pixel clocks) for the horizontal timing. For the vertical timing parameters, values are specified as an integer number of lines. Note that the video timing generator also supports interlaced video formats. For interlaced formats then the generic parameter intl must be set to true. The generic parameter start_field determines whether the output video begins on field #1 or field #2. When operating in interlaced mode, then the user must specify the vertical timing information for both fields. Typically, the first field has fewer vertical blanking lines than the second field. When working with progressive video, then the user only needs to specify the vertical timing information for a complete frame. The interlaced timing parameters may be tied off to zero. All timing parameters are programmable. Whenever the timing parameters are changed during operation, it is advised to reset the module in order to prevent corruption of the video signal. The timing parameters must be specified correctly for the chosen video mode. Figures 2 and 3 on the following page shows this pictorially. 2 Vertical timing parameters for the interlaced field (vi_* etc.) are ignored and may be tied to zero when generating progressive video. Copyright Download this VHDL Core Page 2 of 5

3 By modifying the respective horizontal and vertical timing parameters, a diverse range of standard and fully-custom video modes may be displayed. h_fp h_s h_bp h_disp Standard video timing output signals h_fp h_s h_bp h_disp Horizontal front-porch Horizontal sync Horizontal back-porch Horizontal active display period Total number of pixels per line Figure 2 Horizontal timing parameters (N.B. all timing parameters as integer number of pixels) The output video timing signals are compatible with standard off-the-shelf video DACs, CODECs and transceivers. In addition to separate VSYNC and signals, the module also provides a Composite SYNC signal that is often used to provide sync information on the GREEN channel of the output video. As well as sync information, the module also provides a video enable (DE) signal and separate vertical, horizontal and composite blanking outputs. The enable is during active pixels. Conversely, the blanking enable(s) are when active video is not present. The enable signal is commonly used when interfacing to DVI and HDMI Transceivers in order to enable valid pixels into the device. VSYNC Pixel input/output rate considerations v_fp v_s v_bp v_disp During normal operation, it is important to ensure that the input pixel rate is sufficient to sustain the chosen video mode. If at any point, the video timing generator detects an internal buffer underflow, then the underflow flag is asserted. Once underflow has occurred, then subsequent pixels will be out of sync and the output display will become corrupted. v_fp v_s v_bp v_disp Veritcal front-porch Vertical sync Vertical back-porch Veritcal active display period Total number of lines per frame (N.B. All timing parameters as integer number of lines) The output may be reset by asserting the system reset signal for at least one clk_a cycle. This will result in a resynchronization of the video signal and the recovery of a clean video output display within a single frame period. Figure 3 Vertical timing parameters As an example, consider a standard XGA output (1024 x 768) with a screen refresh rate of 60 Hz and a pixel-clock frequency of 65 MHz. The following tables describe the horizontal and vertical timing settings according to the VESA specification. HORIZONTAL TIMING (XGA at 60Hz) Generic parameter Description Value h_fp Front-porch 24 h_s Sync pulse 136 h_bp Back-porch 160 h_disp Visible area 1024 Whole line 1344 VERTICAL TIMING (XGA at 60Hz) Generic parameter Description Value v_fp Front-porch 3 v_s Sync pulse 6 v_bp Back-porch 29 v_disp Visible area 768 Whole frame 806 Copyright Download this VHDL Core Page 3 of 5

4 Functional Timing Output video timing Input video timing RGB pixels are sampled at the module input according to the valid-ready pipeline protocol. Figure 4 shows the signalling at the input of the video signal generator at the start of a new frame. The first pixel of a new frame begins with pixout_vsync and pixout_hsync asserted together with the first pixel. Note that when is asserted low, then input pixels must be held-off, otherwise pixels with be lost and the output video will become out of sync 3. Figures 6 and 7 show the video timing waveforms for a complete frame and a complete line respectively. The position of the active video and the length and duration of the syncs is fully customizable depending on the generic parameter settings. DE FP = Front porch, BP = Back porch VSYNC FP BP Pipeline stall clk CSYNC pixin Pixel 0 Pixel 1 Pixel 2 Pixel 3 Pixel 4 (Timing waveforms not to scale) Figure 6 Output video timing (FRAME) First pixel of a new frame Note that for interlaced video formats, then the vertical timing parameters are different for each field. In this case, the output timing waveforms will be as per Figure 6, but with different FP, BP and SYNC timings for each field. Figure 4 First input pixel in a frame FP = Front porch, BP = Back porch For the first pixel in a new line (Figure 5) the signal pixout_hsync is asserted with pixout_vsync held low. Note that as well as a pipeline stall, Figure 5 also shows an invalid pixel condition. When is low, the input pixel and input syncs (if present) are ignored. DE FP BP CSYNC Invalid pixel Pipeline stall R/G/B ACTIVE ACTIVE PIXELS ACTIVE clk (Timing waveforms not to scale) pixin Pixel n Pixel 0 Pixel 1 Pixel 1 Pixel 2 Figure 7 Output video timing (LINE) Source File Description All source files are provided as text files coded in VHDL. The following table gives a brief description of each file. First pixel of a new line Figure 5 First input pixel of a line 3 Please refer to Zipcores application note app_note_zc001.pdf for a more detailed explanation of the valid-ready pipeline protocol. Source file video_file_reader.vhd vid_timing_fifo.vhd vid_timing_sof.vhd vid_timing_cont.vhd vid_timing_gen.vhd vid_timing_gen_bench.vhd Description Video source file reader Asynchronous pixel FIFO Start of frame sync module Video timing controller Top-level component Top-level test bench Copyright Download this VHDL Core Page 4 of 5

5 Functional Testing An example VHDL testbench is provided for use in a suitable VHDL simulator. The compilation order of the source code is as follows 1. video_file_reader.vhd 2. vid_timing_fifo.vhd 3. vid_timing_sof.vhd 4. vid_timing_cont.vhd 5. vid_timing_gen.vhd 6. vid_timing_bench.vhd The VHDL test bench instantiates the VID_TIMING_GEN component and the user may modify the generic timing parameters as required. In the example provided, the test is configured to give an industry standard VGA output display at 640x480 resolution and a 60Hz refresh rate. The simulation must be run for at least 100 ms during which time the output syncs and RGB output pixels are captured in the file video_out.txt. The output text file follows a simple format which defines the state of signals vid_vsync_n, vid_hsync_n, vid_r, vid_g and vid_b on a clock-byclock basis. The simulation output is shown in Figure 8. Fixing the timing parameters at the input will result in the most optimum design and will result in significant area savings. Trial synthesis results are shown below. The design was synthesized with generic parameter = 8, intl = true, start_field = 0. Resource usage is specified after Place and Route. XILINX 7-SERIES FPGAS Resource type Artix-7 Kintex-7 Virtex-7 Slice Register Slice LUTs Block RAM DSP Occupied Slices Clock freq. (approx) 300 MHz 350 MHz 400 MHz Revision History Revision Change description Date 1.0 Initial revision 14/12/ Revised the reset scheme. New enable signal. Added section on video signal output timing. 16/04/ Removed the resync_sof signal. Now using system reset instead. Updated synthesis results in line with new source code. 05/12/ Moved generic timing parameters to ports. Increased internal counters to 16-bit throughout. Updated synthesis results. 15/07/ Added support for interlaced video. Added new separate blanking signals. 27/02/2017 Figure 8 VGA simulation output showing regions of video blanking Synthesis The files required for synthesis and the design hierarchy is shown below vid_timing_gen.vhd vid_timing_cont.vhd vid_timing_fifo.vhd vid_timing_sof.vhd The VHDL core is designed to be technology independent. However, as a benchmark, synthesis results have been provided for the Xilinx 7-series FPGAs. Synthesis results for other FPGAs and technologies can be provided on request. Copyright Download this VHDL Core Page 5 of 5

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core Video overlays on 24-bit RGB or YCbCr 4:4:4 video Supports all video resolutions up to 2 16 x 2 16 pixels Supports any

More information

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC or SoC Supplied as human readable VHDL (or Verilog) source code Output supports full flow control permitting

More information

Block Diagram. pixin. pixin_field. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. pixels_per_line. lines_per_field. pixels_per_line [11:0]

Block Diagram. pixin. pixin_field. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. pixels_per_line. lines_per_field. pixels_per_line [11:0] Rev 13 Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA and ASIC Supplied as human readable VHDL (or Verilog) source code reset deint_mode 24-bit RGB video support

More information

Block Diagram. deint_mode. line_width. log2_line_width. field_polarity. mem_start_addr0. mem_start_addr1. mem_burst_size.

Block Diagram. deint_mode. line_width. log2_line_width. field_polarity. mem_start_addr0. mem_start_addr1. mem_burst_size. Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC Supplied as human readable VHDL (or Verilog) source code pixin_ pixin_val pixin_vsync pixin_ pixin

More information

Block Diagram. RGB or YCbCr. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. clk

Block Diagram. RGB or YCbCr. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. clk Rev. 3. Synthesizable, technology dependent IP Core for FPGA, ASIC and SoC Fully programmable scale parameters Fully programmable RGB channel widths allow support for any RGB format (or greyscale if only

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11)

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11) Chapter 5 VGA Port The Spartan-3 Starter Kit board includes a VGA display port and DB15 connector, indicated as 5 in Figure 1-2. Connect this port directly to most PC monitors or flat-panel LCD displays

More information

Lab # 9 VGA Controller

Lab # 9 VGA Controller Lab # 9 VGA Controller Introduction VGA Controller is used to control a monitor (PC monitor) and has a simple protocol as we will see in this lab. Kit parts for this lab 1 A closer look VGA Basics The

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

7inch Resistive Touch LCD User Manual

7inch Resistive Touch LCD User Manual 7inch Resistive Touch LCD User Manual Chinese website: www.waveshare.net English website: www.wvshare.com Data download: www.waveshare.net/wiki Shenzhen Waveshare Electronics Ltd. Co. 1 Contents 1. Overview...

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

LogiCORE IP Video Timing Controller v3.0

LogiCORE IP Video Timing Controller v3.0 LogiCORE IP Video Timing Controller v3.0 Product Guide Table of Contents Chapter 1: Overview Standards Compliance....................................................... 6 Feature Summary............................................................

More information

Section 4. Display Connector

Section 4. Display Connector Section 4. Display Connector Display Connector Introduction.................. 4-2 Signal Timing........................... 4-3 VGA Mode Display Timing.................. 4-4 Extended Graphics Mode Display

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks core is a full function equivalent to the Motorola MC6845 device. The interfaces a microprocessor to a raster-scan CRT display. The

More information

Single Channel LVDS Tx

Single Channel LVDS Tx April 2013 Introduction Reference esign R1162 Low Voltage ifferential Signaling (LVS) is an electrical signaling system that can run at very high speeds over inexpensive twisted-pair copper cables. It

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB3 CCIR 656 Encoder General Description The Digital Blocks DB3 CCIR 656 Encoder IP Core encodes 4:2:2 Y CbCr component digital video with synchronization signals to conform

More information

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4) ECE 574: Modeling and synthesis of digital systems using Verilog and VHDL Fall Semester 2017 Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and

More information

1:2 MIPI DSI Display Interface Bandwidth Reducer IP User Guide

1:2 MIPI DSI Display Interface Bandwidth Reducer IP User Guide 1:2 MIPI DSI Display Interface Bandwidth Reducer IP FPGA-IPUG-02028 Version 1.0 July 2017 Contents 1. Introduction 4 1.1. Quick Facts. 4 1.2. Features 4 1.3. Conventions 5 1.3.1. Nomenclature. 5 1.3.2.

More information

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 DS849 June 22, 2011 Introduction The LogiCORE IP Spartan -6 FPGA Triple-Rate SDI interface solution provides receiver and transmitter interfaces for the

More information

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018 Petter Källström, Mario Garrido September 10, 2018 Abstract In the initialization of the DE2-115 (after you restart it), an image is copied into the SRAM memory. What you have to do in this lab is to read

More information

A CONTROL MECHANISM TO THE ANYWHERE PIXEL ROUTER

A CONTROL MECHANISM TO THE ANYWHERE PIXEL ROUTER University of Kentucky UKnowledge University of Kentucky Master's Theses Graduate School 2007 A CONTROL MECHANISM TO THE ANYWHERE PIXEL ROUTER Subhasri Krishnan University of Kentucky, skris0@engr.uky.edu

More information

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline EECS150 - Digital Design Lecture 12 - Video Interfacing Oct. 8, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

4.3inch 480x272 Touch LCD (B) User Manual

4.3inch 480x272 Touch LCD (B) User Manual 4.3inch 480x272 Touch LCD (B) User Manual Chinese website: www.waveshare.net English Website: www.wvshare.com Data download: www.waveshare.net/wiki Shenzhen Waveshare Electronics Ltd. Co. 1 目录 1. Overview...

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are the digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs.

More information

Design of VGA and Implementing On FPGA

Design of VGA and Implementing On FPGA Design of VGA and Implementing On FPGA Mr. Rachit Chandrakant Gujarathi Department of Electronics and Electrical Engineering California State University, Sacramento Sacramento, California, United States

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks IP Core decodes an ITU-R BT.656 digital video uncompressed NTSC 720x486 (525/60 Video System) and PAL 720x576 (625/50 Video System)

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB1825 Color Space Converter & Chroma Resampler General Description The Digital Blocks DB1825 Color Space Converter & Chroma Resampler Verilog IP Core transforms 4:4:4 sampled

More information

Lecture 14: Computer Peripherals

Lecture 14: Computer Peripherals Lecture 14: Computer Peripherals The last homework and lab for the course will involve using programmable logic to make interesting things happen on a computer monitor should be even more fun than the

More information

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005 Lancelot VGA video controller for the Altera Nios II processor. V4.0 December 16th, 2005 http://www.microtronix.com 1. Description Lancelot is a VGA video controller for the Altera Nios (II) processor.

More information

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics November 10, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: support@xilinx.com URL: www.xilinx.com/ipcenter Features Supports T1-D4 and T1-ESF

More information

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS Application Note ABSTRACT... 3 KEYWORDS... 3 I. INTRODUCTION... 4 II. TIMING SIGNALS USAGE AND APPLICATION... 5 III. FEATURES AND

More information

LogiCORE IP Video Timing Controller v3.0

LogiCORE IP Video Timing Controller v3.0 LogiCORE IP Video Timing Controller v3.0 DS857 June 22, 2011 Introduction The Xilinx Video Timing Controller LogiCORE IP is a general purpose video timing generator and detector. The input side of this

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Different Display Configurations on the i.mx31 WinCE PDK

Different Display Configurations on the i.mx31 WinCE PDK Freescale Semiconductor Application Note Document Number: AN4041 Rev. 0, 03/2010 Different Display Configurations on the i.mx31 WinCE PDK by Multimedia Application Division Freescale Semiconductor, Inc.

More information

Polar Decoder PD-MS 1.1

Polar Decoder PD-MS 1.1 Product Brief Polar Decoder PD-MS 1.1 Main Features Implements multi-stage polar successive cancellation decoder Supports multi-stage successive cancellation decoding for 16, 64, 256, 1024, 4096 and 16384

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

LogiCORE IP AXI Video Direct Memory Access v5.01.a

LogiCORE IP AXI Video Direct Memory Access v5.01.a LogiCORE IP AXI Video Direct Memory Access v5.01.a Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications.....................................................................

More information

VGA 8-bit VGA Controller

VGA 8-bit VGA Controller Summary This document provides detailed reference information with respect to the VGA Controller peripheral device. Core Reference CR0113 (v3.0) March 13, 2008 The VGA Controller provides a simple, 8-bit

More information

GENERAL RULES FOR EE314 PROJECTS

GENERAL RULES FOR EE314 PROJECTS GENERAL RULES FOR EE314 PROJECTS Followings are the important points about projects: This year we are offering 5 projects. Please note that during weekends, laboratory will be closed. In order to work

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, 2012 Fig. 1. VGA Controller Components 1 VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

LogiCORE IP CIC Compiler v2.0

LogiCORE IP CIC Compiler v2.0 DS613 March 1, 2011 Introduction The Xilinx LogiCORE IP CIC Compiler core provides the ability to design and implement Cascaded Integrator-Comb (CIC) filters. Features Drop-in module for Virtex -7 and

More information

XC Clocked Input and Output

XC Clocked Input and Output XC Clocked Input and Output IN THIS DOCUMENT Generating a Clock Signal Using an External Clock Performing I/O on Specific Clock Edges Case Study: LCD Screen Driver Summary of Clocking Behaviour Many protocols

More information

Section 14 Parallel Peripheral Interface (PPI)

Section 14 Parallel Peripheral Interface (PPI) Section 14 Parallel Peripheral Interface (PPI) 14-1 a ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance Monitor JTAG/ Debug Core Processor LD 32 LD1 32 L1 Data Memory SD32 DMA Mastered

More information

Lab 6: Video Game PONG

Lab 6: Video Game PONG CpE 487 Digital Design Lab Lab 6: Video Game PONG 1. Introduction In this lab, we will extend the FPGA code we developed in Labs 3 and 4 (Bouncing Ball) to build a simple version of the 1970 s arcade game

More information

Testing Results for a Video Poker System on a Chip

Testing Results for a Video Poker System on a Chip Testing Results for a Video Poker System on a Chip Preston Thomson and Travis Johnson Introduction- This report examines the results of a system on a chip SoC video poker system. The report will begin

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI)

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI) The World Leader in High Performance Signal Processing Solutions Section 5 Parallel Peripheral Interface (PPI) L Core Timer 64 Performance Core Monitor Processor ADSP-BF533 Block Diagram Instruction Memory

More information

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005 EE178 Lecture Module 4 Eric Crabill SJSU / Xilinx Fall 2005 Lecture #9 Agenda Considerations for synchronizing signals. Clocks. Resets. Considerations for asynchronous inputs. Methods for crossing clock

More information

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization ECE 448 Lecture 10 VGA Display Part 1 VGA Synchronization George Mason University Required Reading Old Edition of the Textbook 2008 (see Piazza) P. Chu, FPGA Prototyping by VHDL Examples Chapter 12, VGA

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

C6845 CRT Controller Megafunction

C6845 CRT Controller Megafunction 查询 C6845 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 C6845 CRT ler Megafunction General Description The C6845 Cathode Ray Tube ler (CRTC) interfaces a microprocessor to a raster-scan CRT display. The C6845 is a synchronous,

More information

An FPGA Based Solution for Testing Legacy Video Displays

An FPGA Based Solution for Testing Legacy Video Displays An FPGA Based Solution for Testing Legacy Video Displays Dale Johnson Geotest Marvin Test Systems Abstract The need to support discrete transistor-based electronics, TTL, CMOS and other technologies developed

More information

Video. Updated fir31.filtered on website Fall 2008 Lecture 12

Video. Updated fir31.filtered on website Fall 2008 Lecture 12 Video Generating video sync signals Decoding NTSC video -- color space conversions Generating pixels -- test patterns -- character display -- sprite-based games Lab #4 due Thursday, project teams next

More information

Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow

Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow Application Note: Artix-7 Family XAPP1097 (v1.0.1) November 10, 2015 Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow Summary The Society of Motion Picture and Television

More information

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran 1 CAD for VLSI Design - I Lecture 38 V. Kamakoti and Shankar Balachandran 2 Overview Commercial FPGAs Architecture LookUp Table based Architectures Routing Architectures FPGA CAD flow revisited 3 Xilinx

More information

T-COR-11 FPGA IP CORE FOR TRACKING OBJECTS IN VIDEO STREAM IMAGES Programmer manual

T-COR-11 FPGA IP CORE FOR TRACKING OBJECTS IN VIDEO STREAM IMAGES Programmer manual T-COR-11 FPGA IP CORE FOR TRACKING OBJECTS IN VIDEO STREAM IMAGES Programmer manual IP core version: 1.1 Date: 28.09.2015 CONTENTS INTRODUCTION... 3 CORE VERSIONS... 3 BASIC CHARACTERISTICS... 3 DESCRIPTION

More information

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics EECS150 - Digital Design Lecture 10 - Interfacing Oct. 1, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

CORIOmax Resolution Editor Programming Guide 2015/03/04

CORIOmax Resolution Editor Programming Guide 2015/03/04 CORIOmax Resolution Editor Programming Guide 2015/03/04 Document Information General Information: Title CORIOmax Resolution Editor Programming Guide Author Version 2.1 Brief Version Control: Version Amendments

More information

VIDEO 2D SCALER. User Guide. 10/2014 Capital Microelectronics, Inc. China

VIDEO 2D SCALER. User Guide. 10/2014 Capital Microelectronics, Inc. China VIDEO 2D SCALER User Guide 10/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 Function Description... 4 2.1 Overview... 4 2.2 Function... 7 2.3 I/O Description...

More information

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging Compatible Windows Software GLOBAL LAB Image/2 DT Vision Foundry DT3162 Variable-Scan Monochrome Frame Grabber for the PCI Bus Key Features High-speed acquisition up to 40 MHz pixel acquire rate allows

More information

Pivoting Object Tracking System

Pivoting Object Tracking System Pivoting Object Tracking System [CSEE 4840 Project Design - March 2009] Damian Ancukiewicz Applied Physics and Applied Mathematics Department da2260@columbia.edu Jinglin Shen Electrical Engineering Department

More information

An Efficient SOC approach to Design CRT controller on CPLD s

An Efficient SOC approach to Design CRT controller on CPLD s A Monthly Peer Reviewed Open Access International e-journal An Efficient SOC approach to Design CRT controller on CPLD s Abstract: Sudheer Kumar Marsakatla M.tech Student, Department of ECE, ACE Engineering

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING Rec. ITU-R BT.111-2 1 RECOMMENDATION ITU-R BT.111-2 * WIDE-SCREEN SIGNALLING FOR BROADCASTING (Signalling for wide-screen and other enhanced television parameters) (Question ITU-R 42/11) Rec. ITU-R BT.111-2

More information

Parallel Peripheral Interface (PPI)

Parallel Peripheral Interface (PPI) The World Leader in High Performance Signal Processing Solutions Parallel Peripheral Interface (PPI) Support Email: china.dsp@analog.com ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Application Note: Virtex-4 Family R XAPP701 (v1.4) October 2, 2006 Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Summary This application note describes the direct-clocking

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

Week 5 Dr. David Ward Hybrid Embedded Systems

Week 5 Dr. David Ward Hybrid Embedded Systems Week 5 Dr. David Ward Hybrid Embedded Systems Today s Agenda Discuss Homework and Labs HW #2 due September 24 (this Friday by midnight) Don t start Lab # 5 until next week Work on HW #2 in today s lab

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras Group #4 Prof: Chow, Paul Student 1: Robert An Student 2: Kai Chun Chou Student 3: Mark Sikora April 10 th, 2015 Final

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0 Written by Matteo Vit, R&D Engineer Dave S.r.l. Approved by Andrea Marson, CTO Dave S.r.l. DAVE S.r.l. www.dave.eu VERSION: 1.0.0 DOCUMENT CODE: AN-ENG-001 NO. OF PAGES: 8 AN-ENG-001 Using the AVR32 SoC

More information

SP2 Multi-Function DVI Converter

SP2 Multi-Function DVI Converter SP2 Multi-Function Converter Supports various single link and dual link conversions. Four scalers support a myriad of re-sizing and rotation applications. Inputs may be asynchronous. All outputs are synchronous.

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

DVB-S Modulator IP Core Specifcatoon

DVB-S Modulator IP Core Specifcatoon DVB-S Modulator IP Core Specifcatoon DVB-S Modulator IP Core Release Ionformatoon Features Deliverables IP Core Structure Port Map DVB-S Modulator IP Core Release Ionformatoon Name Version 3.0 DVB-S Modulator

More information

Traffic Light Controller

Traffic Light Controller Traffic Light Controller Four Way Intersection Traffic Light System Fall-2017 James Todd, Thierno Barry, Andrew Tamer, Gurashish Grewal Electrical and Computer Engineering Department School of Engineering

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

VGA Configuration Algorithm using VHDL

VGA Configuration Algorithm using VHDL VGA Configuration Algorithm using VHDL 1 Christian Plaza, 2 Olga Ramos, 3 Dario Amaya Virtual Applications Group-GAV, Nueva Granada Military University UMNG Bogotá, Colombia. Abstract Nowadays it is important

More information

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015 UG110 Version 1.0, June 2015 Introduction MIPI D-PHY Bandwidth Matrix Table User Guide As we move from the world of standard-definition to the high-definition and ultra-high-definition, the common parallel

More information

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

OL_H264MCLD Multi-Channel HDTV H.264/AVC Limited Baseline Video Decoder V1.0. General Description. Applications. Features

OL_H264MCLD Multi-Channel HDTV H.264/AVC Limited Baseline Video Decoder V1.0. General Description. Applications. Features OL_H264MCLD Multi-Channel HDTV H.264/AVC Limited Baseline Video Decoder V1.0 General Description Applications Features The OL_H264MCLD core is a hardware implementation of the H.264 baseline video compression

More information

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 149 CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 6.1 INTRODUCTION Counters act as important building blocks of fast arithmetic circuits used for frequency division, shifting operation, digital

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents SDI Audio IP Cores Overview...1-1

More information

CHANGED BY A First Release. ZHANG YAN FANG

CHANGED BY A First Release. ZHANG YAN FANG VLPSCOGT350MCQH01 REV.A (COGT350MCQH01) PAGE 2 OF 20 DOCUMENT REVISION HISTORY DOCUMENT REVISION DATE FROM TO DESCRIPTION CHANGED BY A 2007.05.15 First Release. ZHANG YAN FANG CHECKED BY LIN GUO HUI VLPSCOGT350MCQH01

More information

Video and Image Processing Suite User Guide

Video and Image Processing Suite User Guide Video and Image Processing Suite User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Video and Image Processing

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Last updated for Altera Complete Design Suite: 14.0 Subscribe UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 SDI Audio IP Cores User Guide Contents

More information

Generates a selectable interrupt pulse at the entry and exit of the horizontal and vertical blanking intervals

Generates a selectable interrupt pulse at the entry and exit of the horizontal and vertical blanking intervals 1.61 Features Fully programmable screen size support up to HVGA resolution including: QVGA (320x240) @ 60 Hz 16 bpp WQVGA (480x272) @ 60 Hz 16 bpp HVGA (480x320) @ 60 Hz 16 bpp Supports virtual screen

More information

EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? Project Overview

EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? Project Overview EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? March 3, 2009 John Wawrzynek Spring 2009 EECS150 - Lec13-proj3 Page 1 Project Overview A. MIPS150 pipeline structure B. Memories, project

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information