Lecture 14: Computer Peripherals

Size: px
Start display at page:

Download "Lecture 14: Computer Peripherals"

Transcription

1 Lecture 14: Computer Peripherals The last homework and lab for the course will involve using programmable logic to make interesting things happen on a computer monitor should be even more fun than the 7-segment LED! For this to work, we need to know exactly what signals the monitor expects, and when it expects them this is called the communication protocol for any device interfaced to a computer Historically, there have been many protocols for monitors a new one is needed whenever higher resolution becomes available The one we ll discuss is called VGA not the latest (introduced in 1987), but still pretty decent resolution (horiz.) x 480 (vert.) pixels newer protocols tend to be specific to manufacturer

2 The VGA monitor requires 5 input signals two synchronization (sync) signals for horizontal and vertical three color signals, (red, green, and blue) these are actually analog signals, ranging from 0.7 to 1.0 V voltage level corresponds to brightness of each color in this way, thousands of possible colors can be generated in our lab, we ll pretend these are digital i.e., each of the three colors can be on or off means we ll only have 8 possible colors

3 Overview of monitor operation Pixels are drawn one at a time Starts in upper left corner then fills in all the pixel on the top line of the screen moves to next line down fills in all the pixels on that line and so on until the entire screen is drawn then it starts again in the upper left corner, and refreshes the screen Why do we perceive the entire screen as illuminated? in CRT, the phosphors continue to glow for a while after being drawn in LCD, the pixels hold their value until being redrawn

4 Sync signals The sync signals need to be timed properly for the monitor to function Vertical sync: tells monitor to start at upper left corner of screen period of this signal is the refresh period for the screen in standard VGA, this is 16.6 ms 60Hz refresh rate this is near the upper limit of what human eye can perceive can see flicker on a bright screen, especially from the corner of your eye newer monitors run at up to 85 Hz flicker is not noticeable at this speed

5 Vertical sync details: signal at GND means start display refresh sequence signal remains at GND for 64µs (the sync pulse) drawing of pixels starts 1.02ms after sync pulse drawing of pixels ends 0.35ms before next sync pulse Summary: Sync pulse Video data

6 Horizontal sync details: goes to GND for 3.77µs video data starts 1.89µs after sync pulse ends video data sent for 25.17µs video data ends 0.94µs before next sync pulse Note that this means 640 pixels are drawn in 25.17µs requires clock rate of 25MHz that s why the clock on the Altera boards has this frequency! Summary: Sync pulse Video data

7 Code for generating the proper sync signals is available on the course website this code will also output counters telling you what pixel (row and column number) is currently being drawn

8 Keyboard We won t be using a keyboard in the lab, so this is just for your information and so 505 students can feel free to include one in their final projects! The keyboard communicates over a serial link Total of five wires connect keyboard to PC power ground clock data reset The clock is generated by the keyboard (not the computer) much slower than CPU clock (10 20 khz)

9 The data line is bidirectional usually the keyboard is sending data to the computer but the computer can send information as well e.g. to tell the keyboard to turn on an LED when CAPS LOCK is enabled Data line is held at 1 when no data is being sent When a key is pressed, 11 bits are sent on the data line serial, so one bit per clock cycle First is a start bit (always 0) this tells the computer that the keyboard will be sending data Next 8 bits are the make code for the key that was pressed

10 10 th bit is a parity bit this bit is set to 1 if an even number of bits are set to 1 in the make code, and 0 otherwise this ensures that the total number of bits set to 1 is odd the computer can use this to check for errors in the data sent by the keyboard and request that the data be resent if necessary When a key is released, the keyboard sends a break code In the same format as the make code, but uses two sets of 11 bits in the first set, the 8-bit data is (F0) in the second set, it s the make code for the key that was released This protocol allows the computer to understand that the SHIFT key is still being held down when the next key is pressed, for example

11 Keyboard control codes A complete list of the control codes sent between the PC and keyboard (in hexidecimal format): Control codes send from PC to keyboard:

12 Control codes sent from keyboard to PC: Make and break codes:

13

14

15

16

17

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Lab # 9 VGA Controller

Lab # 9 VGA Controller Lab # 9 VGA Controller Introduction VGA Controller is used to control a monitor (PC monitor) and has a simple protocol as we will see in this lab. Kit parts for this lab 1 A closer look VGA Basics The

More information

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11)

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11) Chapter 5 VGA Port The Spartan-3 Starter Kit board includes a VGA display port and DB15 connector, indicated as 5 in Figure 1-2. Connect this port directly to most PC monitors or flat-panel LCD displays

More information

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline EECS150 - Digital Design Lecture 12 - Video Interfacing Oct. 8, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

Lab Determining the Screen Resolution of a Computer

Lab Determining the Screen Resolution of a Computer Lab 1.3.3 Determining the Screen Resolution of a Computer Objectives Determine the current screen resolution of a PC monitor. Determine the maximum resolution for the highest color quality. Calculate the

More information

Revision: August 11, E Main Suite D Pullman, WA (509) Voice and Fax. 8 LEDs. Doc: page 1 of 9

Revision: August 11, E Main Suite D Pullman, WA (509) Voice and Fax. 8 LEDs. Doc: page 1 of 9 Digilent DIO4 Peripheral Board Reference Manual www.digilentinc.com Revision: August 11, 2004 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The DIO4 circuit board provides

More information

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Comp 410/510. Computer Graphics Spring Introduction to Graphics Systems

Comp 410/510. Computer Graphics Spring Introduction to Graphics Systems Comp 410/510 Computer Graphics Spring 2018 Introduction to Graphics Systems Computer Graphics Computer graphics deals with all aspects of 'creating images with a computer - Hardware (PC with graphics card)

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

Flexible Counter Series in DIN size 24 x 48 mm

Flexible Counter Series in DIN size 24 x 48 mm Flexible Counter Series in DIN size 24 x 48 mm high contrast 8-digit LCD display or brilliant 6-digit LED display different supply voltages available: independent of mains supply with lithium battery or

More information

VT VGA TFT NEMA 4/12 Flat Panel Monitor. User s Guide

VT VGA TFT NEMA 4/12 Flat Panel Monitor. User s Guide VT1040 10.4 VGA TFT NEMA 4/12 Flat Panel Monitor User s Guide 301040(A) (was document no. 920A0001 version 1.1), revised 01/98 Viewtronix Viewtronix reserves the right to make changes in specifications

More information

Video Graphics Array (VGA)

Video Graphics Array (VGA) Video Graphics Array (VGA) Chris Knebel Ian Kaneshiro Josh Knebel Nathan Riopelle Image Source: Google Images 1 Contents History Design goals Evolution The protocol Signals Timing Voltages Our implementation

More information

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4) ECE 574: Modeling and synthesis of digital systems using Verilog and VHDL Fall Semester 2017 Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and

More information

Checkpoint 2 Video Encoder

Checkpoint 2 Video Encoder UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE ASSIGNED: Week of 3/7 DUE: Week of 3/14, 10 minutes after start (xx:20) of your assigned

More information

Keyboard Controlled Scoreboard

Keyboard Controlled Scoreboard Universities Research Journal 2011, Vol. 4, No. 4 Keyboard Controlled Scoreboard Kyaw Hlaing 1 and Win Swe 2 Abstract The objective of this research work is to design a keyboard controlled scoreboard that

More information

Stimulus presentation using Matlab and Visage

Stimulus presentation using Matlab and Visage Stimulus presentation using Matlab and Visage Cambridge Research Systems Visual Stimulus Generator ViSaGe Programmable hardware and software system to present calibrated stimuli using a PC running Windows

More information

Computer Graphics: Overview of Graphics Systems

Computer Graphics: Overview of Graphics Systems Computer Graphics: Overview of Graphics Systems By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, 1 Outlines 1. Video Display Devices 2. Flat-panel displays 3. Video controller and Raster-Scan System 4. Coordinate

More information

IBM Enhanced Color Display. Personal Computer. Hardware Reference Library _.-

IBM Enhanced Color Display. Personal Computer. Hardware Reference Library _.- ---- - - ---- ----- - --- Personal Computer --_.- Hardware Reference Library IBM Enhanced Color Display Contents Description... 1 Operating Characteristics... 2 Specifications... 5 Connector Information...

More information

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube Display Technology Images stolen from various locations on the web... Cathode Ray Tube 1 Cathode Ray Tube Raster Scanning 2 Electron Gun Beam Steering Coils 3 Color Shadow Mask and Aperture Grille 4 Liquid

More information

CS 4451A: Computer Graphics. Why Computer Graphics?

CS 4451A: Computer Graphics. Why Computer Graphics? CS 445A: Computer Graphics z CCB, TT 9:3- Why Computer Graphics? z Fun! z Lots of uses: y Art, entertainment y Visualizing complex data/ideas y Concise representation of actions/commands/state y Design/task

More information

Types of CRT Display Devices. DVST-Direct View Storage Tube

Types of CRT Display Devices. DVST-Direct View Storage Tube Examples of Computer Graphics Devices: CRT, EGA(Enhanced Graphic Adapter)/CGA/VGA/SVGA monitors, plotters, data matrix, laser printers, Films, flat panel devices, Video Digitizers, scanners, LCD Panels,

More information

From Synchronous to Asynchronous Design

From Synchronous to Asynchronous Design by Gerrit Muller Buskerud University College e-mail: gaudisite@gmail.com www.gaudisite.nl Abstract The most simple real time programming paradigm is a synchronous loop. This is an effective approach for

More information

... User Guide - Revision /23/04. H Happ Controls. Copyright 2003, UltraCade Technologies UVC User Guide 1/23/2004

... User Guide - Revision /23/04. H Happ Controls. Copyright 2003, UltraCade Technologies UVC User Guide 1/23/2004 H Happ Controls 106 Garlisch Drive Elk Grove, IL 60007 Tel: 888-289-4277 / 847-593-6130 Fax: 847-593-6137 wwwhappcontrolscom User Guide - Revision 201 01/23/04 Copyright 2003, UltraCade Technologies UVC

More information

Introduction...2. Features...2 Safety Precautions...2. Installation...4

Introduction...2. Features...2 Safety Precautions...2. Installation...4 PE1900 Contents Introduction...2 Features...2 Safety Precautions...2 Installation...4 Unpacking the Display...4 Locations and Functions of Controls...4 Connections...5 Using Your Display...7 Turning the

More information

Flexible Counter Series in DIN size 24 x 48 mm

Flexible Counter Series in DIN size 24 x 48 mm Flexible Counter Series in DIN size 24 x 48 mm high contrast 8-digit LCD display or brilliant 6-digit LED display different supply voltages available: independent of mains supply with lithium battery or

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

Week 5 Dr. David Ward Hybrid Embedded Systems

Week 5 Dr. David Ward Hybrid Embedded Systems Week 5 Dr. David Ward Hybrid Embedded Systems Today s Agenda Discuss Homework and Labs HW #2 due September 24 (this Friday by midnight) Don t start Lab # 5 until next week Work on HW #2 in today s lab

More information

Design of VGA and Implementing On FPGA

Design of VGA and Implementing On FPGA Design of VGA and Implementing On FPGA Mr. Rachit Chandrakant Gujarathi Department of Electronics and Electrical Engineering California State University, Sacramento Sacramento, California, United States

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

AC334A. VGA-Video Ultimate BLACK BOX Remote Control. Back Panel View. Side View MOUSE DC IN BLACK BOX ZOOM/FREEZE POWER

AC334A. VGA-Video Ultimate BLACK BOX Remote Control. Back Panel View. Side View MOUSE DC IN BLACK BOX ZOOM/FREEZE POWER AC334A BLACK BOX 724-746-5500 VGA-Video Ultimate BLACK BOX 724-746-5500 Zoom Position PAL ZOOM/FREEZE POWER FREEZE ZOOM NTSC/PAL SIZE RESET POWER Size Power Remote Control DC IN MOUSE MIC IN AUDIO OUT

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

12.1 Inch CGA EGA VGA SVGA LCD Panel - ID #492

12.1 Inch CGA EGA VGA SVGA LCD Panel - ID #492 12.1 Inch CGA EGA VGA SVGA LCD Panel - ID #492 Operation Manual Introduction This monitor is an open frame LCD Panel monitor. It features the VESA plug & play system which allows the monitor to automatically

More information

PC/HDTV to PC/HDTV converter (CP-251F)

PC/HDTV to PC/HDTV converter (CP-251F) PC/HDTV to PC/HDTV converter (CP-251F) Operation Manual This Converter has been especially modified to also accept RGsB Sync on Green Operation Controls and Functions Front Panel 1. Reset/ and +- The and

More information

Video. Updated fir31.filtered on website Fall 2008 Lecture 12

Video. Updated fir31.filtered on website Fall 2008 Lecture 12 Video Generating video sync signals Decoding NTSC video -- color space conversions Generating pixels -- test patterns -- character display -- sprite-based games Lab #4 due Thursday, project teams next

More information

26 Inch CGA/EGA/VGA/DVI to WXGA/1080p LCD - ID#703

26 Inch CGA/EGA/VGA/DVI to WXGA/1080p LCD - ID#703 26 Inch CGA/EGA/VGA/DVI to WXGA/1080p LCD - ID#703 Operation Manual Introduction This monitor is an open frame LCD Panel monitor. It features the VESA plug & play system which allows the monitor to automatically

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, 2012 Fig. 1. VGA Controller Components 1 VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University

More information

Video Scaler Pro with RS-232

Video Scaler Pro with RS-232 Video Scaler Pro with RS-232 - ID# 783 Operation Manual Introduction Features The Video Scaler Pro with RS-232 is designed to convert Composite S-Video and YCbCr signals to a variety of computer and HDTV

More information

Rec. ITU-R BT RECOMMENDATION ITU-R BT PARAMETER VALUES FOR THE HDTV STANDARDS FOR PRODUCTION AND INTERNATIONAL PROGRAMME EXCHANGE

Rec. ITU-R BT RECOMMENDATION ITU-R BT PARAMETER VALUES FOR THE HDTV STANDARDS FOR PRODUCTION AND INTERNATIONAL PROGRAMME EXCHANGE Rec. ITU-R BT.79-4 1 RECOMMENDATION ITU-R BT.79-4 PARAMETER VALUES FOR THE HDTV STANDARDS FOR PRODUCTION AND INTERNATIONAL PROGRAMME EXCHANGE (Question ITU-R 27/11) (199-1994-1995-1998-2) Rec. ITU-R BT.79-4

More information

15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833

15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833 15 Inch CGA EGA VGA to XGA LCD Wide Viewing Angle Panel ID# 833 Operation Manual Introduction This monitor is an open frame LCD Panel monitor. It features the VESA plug & play system which allows the monitor

More information

CATALOG NUMBER: HK-MX-VGA-X-Y Product Name

CATALOG NUMBER: HK-MX-VGA-X-Y Product Name Product Name Screen matrix switcher Describe Matrix switcher is a high-performance intelligent matrix switch device designed for switching of audio and video signals. It switches all audio and video input

More information

VGA Configuration Algorithm using VHDL

VGA Configuration Algorithm using VHDL VGA Configuration Algorithm using VHDL 1 Christian Plaza, 2 Olga Ramos, 3 Dario Amaya Virtual Applications Group-GAV, Nueva Granada Military University UMNG Bogotá, Colombia. Abstract Nowadays it is important

More information

AND-TFT-64PA-DHB 960 x 234 Pixels LCD Color Monitor

AND-TFT-64PA-DHB 960 x 234 Pixels LCD Color Monitor 960 x 234 Pixels LCD Color Monitor The AND-TFT-64PA-DHB is a compact full color TFT LCD module, that is suitable for applications such as a car TV, portable DCD, GPS, multimedia applications and other

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

HK-DID-MXA-VGA-X-Y. Product Name. Describe. Application. Characteristic. Product Model. Screen Matrix Switcher

HK-DID-MXA-VGA-X-Y. Product Name. Describe. Application. Characteristic. Product Model. Screen Matrix Switcher Product Name Screen Matrix Switcher Describe Matrix switcher is a high-performance intelligent matrix switch device designed for switching of audio and video signals. It switches all audio and video input

More information

What is sync? Why is sync important? How can sync signals be compromised within an A/V system?... 3

What is sync? Why is sync important? How can sync signals be compromised within an A/V system?... 3 Table of Contents What is sync?... 2 Why is sync important?... 2 How can sync signals be compromised within an A/V system?... 3 What is ADSP?... 3 What does ADSP technology do for sync signals?... 4 Which

More information

Section 4. Display Connector

Section 4. Display Connector Section 4. Display Connector Display Connector Introduction.................. 4-2 Signal Timing........................... 4-3 VGA Mode Display Timing.................. 4-4 Extended Graphics Mode Display

More information

Chapter 3 Fundamental Concepts in Video. 3.1 Types of Video Signals 3.2 Analog Video 3.3 Digital Video

Chapter 3 Fundamental Concepts in Video. 3.1 Types of Video Signals 3.2 Analog Video 3.3 Digital Video Chapter 3 Fundamental Concepts in Video 3.1 Types of Video Signals 3.2 Analog Video 3.3 Digital Video 1 3.1 TYPES OF VIDEO SIGNALS 2 Types of Video Signals Video standards for managing analog output: A.

More information

7inch Resistive Touch LCD User Manual

7inch Resistive Touch LCD User Manual 7inch Resistive Touch LCD User Manual Chinese website: www.waveshare.net English website: www.wvshare.com Data download: www.waveshare.net/wiki Shenzhen Waveshare Electronics Ltd. Co. 1 Contents 1. Overview...

More information

VectorVGA Tempest User Manual

VectorVGA Tempest User Manual VectorVGA Tempest User Manual 2 Notice Regarding This Product WARNING! To install this product you should: Be familiar with safe handling procedures for electronic components. Be able to use hand tools

More information

INSTRUCTIONAL MANUAL FOR LCD ZOOM MICROSCOPE

INSTRUCTIONAL MANUAL FOR LCD ZOOM MICROSCOPE INSTRUCTIONAL MANUAL FOR LCD ZOOM MICROSCOPE ? 8 LCD Screen? 10.4 LCD Screen LCD Zoom Microscope Instruction Manual Please read the Instruction Manual carefully before installation and keep it for future

More information

An Efficient SOC approach to Design CRT controller on CPLD s

An Efficient SOC approach to Design CRT controller on CPLD s A Monthly Peer Reviewed Open Access International e-journal An Efficient SOC approach to Design CRT controller on CPLD s Abstract: Sudheer Kumar Marsakatla M.tech Student, Department of ECE, ACE Engineering

More information

HITACHI. Instruction Manual VL-21A

HITACHI. Instruction Manual VL-21A HITACHI Instruction Manual VL-21A 1 Table of Contents 1. Document History 3 2. Specifications 3 2.1 Lens 3 3. Measurement Specifications 5 4. Environment Condition and Test 5 4.1 High Temperature Storage

More information

Understanding Multimedia - Basics

Understanding Multimedia - Basics Understanding Multimedia - Basics Joemon Jose Web page: http://www.dcs.gla.ac.uk/~jj/teaching/demms4 Wednesday, 9 th January 2008 Design and Evaluation of Multimedia Systems Lectures video as a medium

More information

Display Technology. Cathode Ray Tube. Images stolen from various locations on the web...

Display Technology. Cathode Ray Tube. Images stolen from various locations on the web... Display Technology Cathode Ray Tube Images stolen from various locations on the web... Cathode Ray Tube Raster Scanning Electron Gun Beam Steering Coils 1 Color Shadow Mask and Aperture Grille Liquid Crystal

More information

SHENZHEN H&Y TECHNOLOGY CO., LTD

SHENZHEN H&Y TECHNOLOGY CO., LTD Chapter I Model801, Model802 Functions and Features 1. Completely Compatible with the Seventh Generation Control System The eighth generation is developed based on the seventh. Compared with the seventh,

More information

4. ANALOG TV SIGNALS MEASUREMENT

4. ANALOG TV SIGNALS MEASUREMENT Goals of measurement 4. ANALOG TV SIGNALS MEASUREMENT 1) Measure the amplitudes of spectral components in the spectrum of frequency modulated signal of Δf = 50 khz and f mod = 10 khz (relatively to unmodulated

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Configuring the Élan SC300 Device s Internal CGA Controller for a Specific LCD Panel

Configuring the Élan SC300 Device s Internal CGA Controller for a Specific LCD Panel Configuring the Élan SC300 Device s Internal CGA Controller for a Specific LCD Panel Application Note This application note explains how to determine if a specific LCD panel is supported by the Élan TM

More information

Doc: page 1 of 5

Doc: page 1 of 5 VmodTFT Reference Manual Revision: November 11, 2011 Note: This document applies to REV C of the board. 1300 NE Henley Court, Suite 3 Pullman, WA 99163 (509) 334 6306 Voice (509) 334 6300 Fax Overview

More information

IS01BFRGB LCD SmartDisplay from NKK Switches Simple implementation featuring the ATmega88PA from Atmel Complete software solution

IS01BFRGB LCD SmartDisplay from NKK Switches Simple implementation featuring the ATmega88PA from Atmel Complete software solution DKAN0003A Controlling the SmartDisplay with a SPI Peripheral 09 June 009 Features IS01BFRGB LCD SmartDisplay from NKK Switches Simple implementation featuring the ATmega88PA from Atmel Complete software

More information

A+ Certification Guide. Chapter 7 Video

A+ Certification Guide. Chapter 7 Video A+ Certification Guide Chapter 7 Video Chapter 7 Objectives Video (Graphics) Cards Types and Installation: Describe the different types of video cards, including PCI, AGP, and PCIe, and the methods of

More information

Product G e n e r a l S p e c i f i c a t i o n

Product G e n e r a l S p e c i f i c a t i o n . General Description The LCD is a Color Active Matrix Liquid Crystal Display with an integral Cold Cathode Fluorescent Tube(CCFT) back light system. The matrix employs asi Thin Film Transistor as the

More information

VGA 8-bit VGA Controller

VGA 8-bit VGA Controller Summary This document provides detailed reference information with respect to the VGA Controller peripheral device. Core Reference CR0113 (v3.0) March 13, 2008 The VGA Controller provides a simple, 8-bit

More information

The characteristics of a video signal and methods to overcome distance limitations

The characteristics of a video signal and methods to overcome distance limitations The characteristics of a video signal and methods to overcome distance limitations Rich Hanna, CTS Regional Application Specialist, Southeast Extron Electronics Monday, January 24, 2005 9:00 AM 4:00 PM

More information

Intelligent Security and Fire Ltd

Intelligent Security and Fire Ltd User Manual Product ranges covered by this manual Vi-P14 Vi-P14A Document Reference Date Firmware Vi-Q4C1 Viq601a.doc 26/11/2009 From Viq001a21 Videoswitch Telephone 01252-851510 Ocean House, Redfields

More information

Shad-o-Box X-Ray Camera Hardware Manual

Shad-o-Box X-Ray Camera Hardware Manual Shad-o-Box X-Ray Camera Hardware Manual P/N 1015 Rev. 08 Shad-o-Box, RadEye and ShadoCam are trademarks of Rad-icon Imaging Corp. All other brand and product names are trademarks or registered trademarks

More information

SXT SXGA TFT NEMA 4/12 Flat Panel Monitor. User s Guide

SXT SXGA TFT NEMA 4/12 Flat Panel Monitor. User s Guide SXT2010 20.1 SXGA TFT NEMA 4/12 Flat Panel Monitor User s Guide 302010(A) (was document no. 920A0007 version 1.0), revised 12/98 Viewtronix Viewtronix reserves the right to make changes in specifications

More information

By Tom Kopin CTS, ISF-C KRAMER WHITE PAPER

By Tom Kopin CTS, ISF-C KRAMER WHITE PAPER Troubleshooting HDMI with 840Hxl By Tom Kopin CTS, ISF-C AUGUST 2012 KRAMER WHITE PAPER WWW.KRAMERELECTRONICS.COM TABLE OF CONTENTS overview...1 resolutions...1 HDCP...2 Color depth...2 color space...3

More information

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005 Lancelot VGA video controller for the Altera Nios II processor. V4.0 December 16th, 2005 http://www.microtronix.com 1. Description Lancelot is a VGA video controller for the Altera Nios (II) processor.

More information

CAT5 VGA Extender. Mode 1 Mode 2

CAT5 VGA Extender. Mode 1 Mode 2 ITEM NO.: TTP111VGA CAT5 VGA Extender The VGA Extender allows VGA video signals to be transmitted up to 135 meters via 4-paris CAT5 STP or UTP cable. Used in pairs, the VGA Extender is used in home or

More information

Chrontel CH7015 SDTV / HDTV Encoder

Chrontel CH7015 SDTV / HDTV Encoder Chrontel Preliminary Brief Datasheet Chrontel SDTV / HDTV Encoder Features 1.0 GENERAL DESCRIPTION VGA to SDTV conversion supporting graphics resolutions up to 104x768 Analog YPrPb or YCrCb outputs for

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package August 1997, ver. 1 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

Module 7. Video and Purchasing Components

Module 7. Video and Purchasing Components Module 7 Video and Purchasing Components Objectives 1. PC Hardware A.1.11 Evaluate video components and standards B.1.10 Evaluate monitors C.1.9 Evaluate and select appropriate components for a custom

More information

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface

More information

T2210HD/T2210HDA 21.5 Wide-Screen LCD Monitor User Manual

T2210HD/T2210HDA 21.5 Wide-Screen LCD Monitor User Manual T2210HD/T2210HDA 21.5 Wide-Screen LCD Monitor User Manual Table of Contents Package contents...3 Installation...4 To connect the monitor to your PC... 4 Adjusting your monitor...5 Functions of the buttons

More information

Multimedia Systems Video I (Basics of Analog and Digital Video) Mahdi Amiri April 2011 Sharif University of Technology

Multimedia Systems Video I (Basics of Analog and Digital Video) Mahdi Amiri April 2011 Sharif University of Technology Course Presentation Multimedia Systems Video I (Basics of Analog and Digital Video) Mahdi Amiri April 2011 Sharif University of Technology Video Visual Effect of Motion The visual effect of motion is due

More information

4.3inch 480x272 Touch LCD (B) User Manual

4.3inch 480x272 Touch LCD (B) User Manual 4.3inch 480x272 Touch LCD (B) User Manual Chinese website: www.waveshare.net English Website: www.wvshare.com Data download: www.waveshare.net/wiki Shenzhen Waveshare Electronics Ltd. Co. 1 目录 1. Overview...

More information

These are used for producing a narrow and sharply focus beam of electrons.

These are used for producing a narrow and sharply focus beam of electrons. CATHOD RAY TUBE (CRT) A CRT is an electronic tube designed to display electrical data. The basic CRT consists of four major components. 1. Electron Gun 2. Focussing & Accelerating Anodes 3. Horizontal

More information

Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are

Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are 2 Traditionally video signals have been transmitted along cables in the form of lower energy electrical impulses. As new technologies emerge we are seeing the development of new connection methods within

More information

Graphics Concepts. David Cairns

Graphics Concepts. David Cairns Graphics Concepts David Cairns Introduction The following material provides a brief introduction to some standard graphics concepts. For more detailed information, see DGJ, Chapter 2, p23. Display Modes

More information

QuickSpecs. HP V19b 18.5-inch Monitor. Overview. 1. Menu 3. Plus ( + ) 5. Power 2. Minus ( - ) 4. OK. 1. Power connector 3. Security lock slot 2.

QuickSpecs. HP V19b 18.5-inch Monitor. Overview. 1. Menu 3. Plus ( + ) 5. Power 2. Minus ( - ) 4. OK. 1. Power connector 3. Security lock slot 2. Overview 1. Menu 3. Plus ( + ) 5. Power 2. Minus ( - ) 4. OK 1. Power connector 3. Security lock slot 2. VGA Brazil Version 1 April 20, 2018 Page 1 Model: 2XM32AA Panel Type 18.5-inch TN Viewable Image

More information

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

Design and Implementation of Timer, GPIO, and 7-segment Peripherals Design and Implementation of Timer, GPIO, and 7-segment Peripherals 1 Module Overview Learn about timers, GPIO and 7-segment display; Design and implement an AHB timer, a GPIO peripheral, and a 7-segment

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package October 2001, ver. 2.0 User Guide Introduction The University Program (UP) Design Laboratory Package was designed to meet the needs of universities teaching

More information

Pivoting Object Tracking System

Pivoting Object Tracking System Pivoting Object Tracking System [CSEE 4840 Project Design - March 2009] Damian Ancukiewicz Applied Physics and Applied Mathematics Department da2260@columbia.edu Jinglin Shen Electrical Engineering Department

More information

Table of Contents Introduction

Table of Contents Introduction Page 1/9 Waveforms 2015 tutorial 3-Jan-18 Table of Contents Introduction Introduction to DAD/NAD and Waveforms 2015... 2 Digital Functions Static I/O... 2 LEDs... 2 Buttons... 2 Switches... 2 Pattern Generator...

More information

DiD. LCD Video Monitor & Video Wall Universal User Manual. Digital Information Display

DiD. LCD Video Monitor & Video Wall Universal User Manual. Digital Information Display LCD Video Monitor & Video Wall Universal User Manual DiD Digital Information Display Video Monitor Models M82S1/M70S1/M65S1/M55S1/M46S1/M40S1/M32S1/M24S1/M19S2/M19S1 Video Wall Models PD55N3/PD46N4/PD46N3/PD46N2/PD40N2

More information

User Manual for D9400 D9410 Series Digital-Control Color Monitor

User Manual for D9400 D9410 Series Digital-Control Color Monitor User Manual for D9400 D9410 Series Digital-Control Color Monitor Wells-Gardner Electronics 9500 W. 55th Street Suite A Mc Cook, Il. 60525-3605 (708) 290-2100 Revision ORG/E11004 D9400 User manual Date

More information

Computer Graphics NV1 (1DT383) Computer Graphics (1TT180) Cary Laxer, Ph.D. Visiting Lecturer

Computer Graphics NV1 (1DT383) Computer Graphics (1TT180) Cary Laxer, Ph.D. Visiting Lecturer Computer Graphics NV1 (1DT383) Computer Graphics (1TT180) Cary Laxer, Ph.D. Visiting Lecturer Today s class Introductions Graphics system overview Thursday, October 25, 2007 Computer Graphics - Class 1

More information

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging Compatible Windows Software GLOBAL LAB Image/2 DT Vision Foundry DT3162 Variable-Scan Monochrome Frame Grabber for the PCI Bus Key Features High-speed acquisition up to 40 MHz pixel acquire rate allows

More information

M-CT6 Camera-Top Monitor

M-CT6 Camera-Top Monitor M-CT6 Camera-Top Monitor Owner s Manual 1 Thank you for purchasing a Marshall M-CT6 camera-top monitor. The M-CT6 is a great tool for focusing, composing, and viewing images/video clips directly from your

More information

User Manual 15" LCD Open frame SAW Touch Monitor KOT-0150US-SA4W. Table of Contents

User Manual 15 LCD Open frame SAW Touch Monitor KOT-0150US-SA4W. Table of Contents User Manual 15" LCD Open frame SAW Touch Monitor KOT-0150US-SA4W Table of Contents Chapter 1. Introduction...2 1.1 Product Description 1.2 About the Product Chapter 2. Installation and Setup...2 2.1 Unpacking

More information

EDID HANDLING WITH ANALOG SIGNAL DISTRIBUTION

EDID HANDLING WITH ANALOG SIGNAL DISTRIBUTION HANDLING WITH ANALOG SIGNAL DISTRIBUTION By Chris Kopin CTS, ISF-C, Tom Kopin CTS, ISF-C, Matt Kopin CTS April 2010 KRAMER WHITE PAPER WWW.KRAMERELECTRONICS.COM TABLE OF CONTENTS INTRODUCTION...1 MAKE-UP

More information

Burlington County College INSTRUCTION GUIDE. for the. Hewlett Packard. FUNCTION GENERATOR Model #33120A. and. Tektronix

Burlington County College INSTRUCTION GUIDE. for the. Hewlett Packard. FUNCTION GENERATOR Model #33120A. and. Tektronix v1.2 Burlington County College INSTRUCTION GUIDE for the Hewlett Packard FUNCTION GENERATOR Model #33120A and Tektronix OSCILLOSCOPE Model #MSO2004B Summer 2014 Pg. 2 Scope-Gen Handout_pgs1-8_v1.2_SU14.doc

More information

EE251: Thursday October 11

EE251: Thursday October 11 EE251: Thursday October 11 Mid-Term Exam Comments and Statistics SSI Serial I/O: continued as needed Nokia 5110 Graphics Subsystem SSI Interface to the 5110 Key Part of Lab #5 Use of Logic Analyzer, also

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package November 1999, ver. 1.02 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

CRT Dynamics. A report on the dynamical properties of CRT based visual displays

CRT Dynamics. A report on the dynamical properties of CRT based visual displays CRT Dynamics A report on the dynamical properties of CRT based visual displays Display-Metrology & Systems 2007 Introduction In 2004 more LCD-monitors have been sold as computer monitors than CRT-based

More information

Model 5405 Dual Analog Sync Generator Data Pack

Model 5405 Dual Analog Sync Generator Data Pack Model 5405 Dual Analog Sync Generator Data Pack E NSEMBLE D E S I G N S Revision 2.1 SW v2.0 This data pack provides detailed installation, configuration and operation information for the 5405 Dual Analog

More information