Digital Correction for Multibit D/A Converters

Size: px
Start display at page:

Download "Digital Correction for Multibit D/A Converters"

Transcription

1 Digital Correction for Multibit D/A Converters José L. Ceballos 1, Jesper Steensgaard 2 and Gabor C. Temes 1 1 Dept. of Electrical Engineering and Computer Science, Oregon State University, Corvallis, OR 97331, USA 2 ESION LLC, Carlsbad, CA 9218, USA ceballos@ece.orst.edu ABSTRACT This paper presents simulation results for a novel calibration scheme used to improve the high-speed digital-to-analog converters (DACs) linearity. This mixed-signal technique has only one analog element as main interface in its simplest form (a latched comparator). The use of digital correlation to acquire the DAC unit element s errors, plus the use of a reducedscale auxiliary DAC, allows linearity improvements of more than 5 bits (~3 db). Keywords: DAC, adaptive correction. 1. INTRODUCTION Multibit delta-sigma ( Σ) digital-to-analog converters (DACs) are widely used in audio, wireless and wireline communication systems. They contain a digital interpolation filter, a noise-shaping loop which reduces the wordlength of the digital signal, a low-resolution internal DAC, and an analog smoothing filter. Such converters are capable of very high accuracy and linearity, up to 2 bits or even higher. A major limitation of such converters is the achievable static linearity of the embedded DAC. For Σ DACs with narrow-band signals, the effective DAC linearity can be vastly improved by using a high oversampling ratio (OSR) combined with a dynamic element matching (DEM) method [1]. However, for DACs processing wideband signals, such as occurring in communication applications, the OSR is restricted to low values by the limited speed capability of the analog circuitry used. In this situation, DEM does not provide enough accuracy improvement for a high (say, 15 or more ENOB) linearity for the overall converter. In this paper, an alternative approach is described for achieving enhanced DAC accuracy. It uses an adaptive digital correction of the internal DAC. The process is based on acquiring and refining digital estimates for the errors of all unit elements (capacitors or current sources) which form the internal DAC, and then applying the appropriate corrections to the output signal according to the known usage of these elements. Numerical simulations verified that even for large initial errors, a very high conversion accuracy can be achieved using the proposed algorithm. 2. THE CORRECTION OF THE DAC The correction system is depicted schematically in Fig. 1. The main components of the system are the main DAC (composed of 2 n = N unit elements), an auxiliary (reduced scale) DAC, 2 banks of registers (BANK 1 to store the individual errors, and BANK 2 to store the complete errors for the different codes), a scrambler to randomize the use of the unit elements, and associated logic. Fig. 1: schematic representation of the whole system. The signal processing block may contain an interpolator and a modulator to improve the ENOB (at expenses of speed)

2 The operation of the system can be described as follows: first, the digital input is preprocessed. Data are passed through an interpolation filter and a digital Σ loop, improving the Effective Number of Bits (ENOB). At the same time (in a parallel process) a Pseudo-Random Code is generated for a generic middle code. Considering a bipolar operation, the middle code is the zero code and it corresponds to the use of only half (N/2) of the total unit elements that compose the Main DAC. Also, this code will select the corresponding registers in BANK 1 (line SEL) to perform an addition with. This operation is realized in advance, that means once the addition is completed then the system will wait until a middle code appears. At this point the digital value of the addition will be converted to an analog one (by means of the Auxiliary DAC), the Main DAC will use the corresponding elements (signal SEL will be copied to SEL 1 and will command the Scrambler), and a comparison will be performed. It should be noted that the Scrambler will select the corresponding elements in the Main DAC only if SEL 1 is active, and this will occur if and only if the code is the middle one (signal EQ active) and at the same time the addition in BANK 1 is completed (signal ACK). After this occurs, the 1-bit comparison will tell whether the corresponding registers in BANK 1 must be increased or decreased. In BANK 2 the registers will be modified as follows: referring to Fig. 1, it is shown that the first register of BANK 2 will contain the error corresponding to the first element in the Main DAC, the second register will contain the error for the 1 st and the 2 d elements added together, an so on, until the last register which will contain the error of the sum of all the unit elements. When a specific unit element is used, then in accordance with the comparator output, the registers in BANK 2 that contain this element will be increased or decreased. The idea behind this procedure is that if the error is reduced each time when the comparison is carried out, that means that in steady state the registers in BANK 1 will contain a scaled version of the errors of the unit elements. If the registers in BANK 1 and BANK 2 have been updated in the same way and at the same time, then BANK 2 will contain the scaled estimate of the errors for the 2 n possible combinations (digital codes without being scrambled) for the sum of unit elements of the Main DAC. If the code is not the middle one or the system is busy, then the Auxiliary DAC will feed the corresponding output from BANK 2 (passing it trough a Multiplexer), and the correction will be performed. When the addition and the update of the registers in BANK 1 and BANK 2 is completed, then a signal (STRB) indicates that the registers are ready to perform another operation. Fig. 2: Another possible architecture, suitable for a totally analog implementation. The integrators could be implemented using switched current techniques to facilitate the additions (speed up the process). The total number of registers is 2N. The delay in the Analog/Digital loop is minimized with the use of the MUX. Another implementation is depicted schematically in Fig. 2. In this case, there are only N registers that are combined in the same way than was previously described: half of them will be considered for comparison/updating when the middle code appears (signal used in conjunction with signals S i from the SCRAMBLER block). The main difference now is that these registers can be analog memories (capacitances), and the Main and the Auxiliary DAC can be combined in only one device. Each analog memory could be the gate capacitance of an auxiliary current source in parallel with each one of the unit-element current sources of the Main DAC. Clearly the Auxiliary DAC is absorbed in the main one. In this configuration, because an addition is needed (no MUX involved) a delay is added in the feedback path if the processing is a mixed signal one (A/D). If the processing is only analog, the system is faster. Of course, if low speed operation is allowed, then DEM could be also applied, improving the effectiveness of the algorithm. 3. ANALYSIS In this paragraph a linear model will be considered for the topology. Consider only the output in the Middle- Code time points. It will be shown that the correction loop acts similar as a delta modulator for the Main DAC error. First of all define the following: E=[e 1,e 2, e N ] is the vector of individual errors in the Main DAC. Ê=[ê 1, ê 2, ê N ] is the vector of individual error estimates. =[δ 1, δ 2 δ N ] is the vector of differences defined as δ i =e i -ê i

3 Ê and E are vectors with the special property that in each one, one half is subtracted from the other half randomly and there is also a one-to-one correspondence between their used elements. An equivalent model for correction of the i-th error is shown in Fig. 3. In this case, it can be seen all the other element error differences act as a noise signal in the forward path of a delta modulator. Considering digital integrators (counters), dead zones can be introduced. The idea is to perform a wired truncation, discarding the LSBs of the counters, as depicted in Fig. 4. This has as advantage the decrease in the sensitivity. In the following ideal model no dead zones introduced by digital truncation effect were considered, as is the case for an analog-only processing scheme, but the variation in gain was taken into account. The gain G is the gain due to the truncation and the gain in the Auxiliary Digital-to- Analog converter. In both cases it is much lesser than 1. Fig. 5: Schematic of the system under locking conditions. G 1: Truncation gain, G 2: gain of the AUX-DAC Fig. 6: CMP in is the input to the comparator in the middle code. 2-bit system The feedback loop forces the signal before the comparator to zero. In locking conditions, the system can be depicted as in Fig. 5. When correction/estimation is performed, one can draw an equivalent diagram such as the one depicted in Fig. 6. In this, each φ i signal can be - 1 or +1, indicating that randomly half of the unit elements are in the positive side, and the other half in the negative one. We can then write Fig. 3: Equivalent model for one of the errors in the middle code operating point and its lineralized model. i i 2 Φ i = ± 1 Φ i = 1 ; Φ = (1) The output of this system (CMP in ), which represents the input to the comparator, in the middle-code points (for a 2-bit example) is given by CMPin = ( e1 eˆ 1) Φ 1 + ( e2 eˆ 2) Φ2 + ( e3 eˆ 3) Φ3 + ( e4 eˆ 4) Φ4 (2) With the following characteristics: Fig. 4: Truncation in the registers. It is equivalent to a dead zone plus a gain scaling. E V { CMPin} = { CMPin} = V{ ( ei eˆ i) Φi} i Here, E{.} and V{.} are the expected value and the variance operators respectively. It can be easily shown that (3)

4 1.5 x 1-5 Estimated error Original error Residual error 1.5 (2) (2) + offset Error [A] Unit Element # Fig. 7: Example for a 2-bit current-steering corrected system. Registers S i (i=1..4) store the individual errors; registers S j (j=a E) store the error for the 5 different digital codes. 1 x (a) V {( ei eˆ i) Φi} = V{ eˆ i} (4) If the variance of ê i is limited by the step of the AUX- DAC, and considering Gaussian distributions, the maximum values of the deviation can be estimated. Instead of doing that, and because of the presence of the dead zones, the procedure of simulation and observation was followed. As another point of view, the whole system can be thought as a CDMA system, but in a closed loop, with the quantization noise equivalently considered as the channel noise. 4. SIMULATION RESULTS In this section the simulated results for a fully differential topology (similar to the one in Fig. 7) will be presented. A 3-bit (n = 8) modulator was assumed. A zerooptimization procedure was used to reduce the in band quantization noise of the Σ modulator in the design stage. An over-sampling ratio (OSR) 8 and an eightorder structure were employed. The initial errors, the estimated errors and the residual errors are shown in Fig. 8; Fig. 9 shows the variation in the counters around their mean value. For a 3-bit system (with inclusion of nonidealities during the simulation), proving the concept of Gaussian distributions. The dead zones introduced by the truncation operation are helpful in diminishing this effect. The FFTs of the output before and after correction are shown in Fig. 1. error(unit Values[i]) - STATE[i] * Gain Sample # (b) Fig. 8: a) Original, estimated and residual errors for a 3 bit converter. b) Time convergence for the eight elements Fig. 9: Histograms of counter outputs for the 8 unit elements around their mean value after convergence. Full randomization was used. x 1 4

5 Performance of the ideal system [db] FFT of the output signal. Noise Power vs. Signal Bandwih Fig. 11: Layout of the prototype. -2 Performance of the adaptive DAC - No correction 5. EXTRA DESIGN CONSIDERATIONS AND LAYOUT [db] FFT of the output signal. Noise Power vs. Signal Bandwih Performance of the adaptive DAC3 system after convergence -2-4 The use of a reduced set of pseudo-random middle codes can be considered. Although it will not be described here, it can be shown that this reduction can lead to a very fast and robust architecture. Having a fixed number of middle codes is equivalent of having a fixed (and reduced) number of possibilities; hence, there is no need of additions (no adder!). The codes can be wired to a new block of memory, and their outputs can be used through another MUX. The previous ideas have been implemented in a CHIP (now in fabrication), using a 2P4M.35µm technology. Fig. 11 shows the layout of the prototype device. -6 [db] CONCLUSIONS AND REMARKS FFT of the output signal. Noise Power vs. Signal Bandwih Fig. 1: Output FFT of the 3-bit ideal system (a), before (b) and after (c) correction, for a -3dB input signal. Note the increase of the SFDR in c). In the simulations, return-to-zero (RZ) input signal was used in order to force the middle code periodically. This has two advantages: first of all, a DC signal can be processed, and the dynamic performance is also improved using this technique, although jitter can degrade the SNR. For high-frequency designs it is important to isolate the channels (increase the SFDR). Then, the increase of the in-band noise floor due to jitter is of less concern [2]. A new technique was simulated for the correction of a high-speed DAC. Simulations were carried out for a current-steering topology. SFDR values higher than 1dB were obtained, even considering nonidealities such as comparator noise and offset, resistor mismatches and nonlinearities in the Auxiliary DAC. As previously mentioned, the comparator is the most sensitive block of the system. Hence, a 3-stage autozeroed latched comparator was specially designed. The idea is to have enough gain to overcome the inherent offset of the latch, and at the same time to have high speed. Considering this last item, the integrated input noise (mainly thermal) acts as dither, and because of the averaging nature of the whole correction process, it has no effect on the steady-state results. In order to achieve rapid convergence, the equivalent noise bandwih can be manipulated by changing the capacitive load seen by the first stage of the comparator.

6 5. ACKNOWLEDGEMENTS The authors want to thank CDADIC (NSF Center for Design Analog-Digital Integrated Circuits), and Analog Devices (ADI), for funding the project. 6. REFERENCES [1] R. T. Baird & T. S. Fiez, Improved Σ DAC Linearity using Data Weighted Averaging, Proc IEEE Int. Symp. Circuits Syst., vol. 1, pp , May [2] J. Hyde, T. Humes, C. Diorio, M. Thomas & M. Figueroa, A 3-MS/s 14-bit Digital-to-Analog Converter in Logic CMOS, IEEE JSSC, vol. 38, no. 5, pp , May 23.

Research Results in Mixed Signal IC Design

Research Results in Mixed Signal IC Design Research Results in Mixed Signal IC Design Jiren Yuan, Professor Department of Electroscience Lund University, Lund, Sweden J. Yuan, Dept. of Electroscience, Lund University 1 Work packages in project

More information

Area-Efficient Decimation Filter with 50/60 Hz Power-Line Noise Suppression for ΔΣ A/D Converters

Area-Efficient Decimation Filter with 50/60 Hz Power-Line Noise Suppression for ΔΣ A/D Converters SICE Journal of Control, Measurement, and System Integration, Vol. 10, No. 3, pp. 165 169, May 2017 Special Issue on SICE Annual Conference 2016 Area-Efficient Decimation Filter with 50/60 Hz Power-Line

More information

Politecnico di Torino HIGH SPEED AND HIGH PRECISION ANALOG TO DIGITAL CONVERTER. Professor : Del Corso Mahshid Hooshmand ID Student Number:

Politecnico di Torino HIGH SPEED AND HIGH PRECISION ANALOG TO DIGITAL CONVERTER. Professor : Del Corso Mahshid Hooshmand ID Student Number: Politecnico di Torino HIGH SPEED AND HIGH PRECISION ANALOG TO DIGITAL CONVERTER Professor : Del Corso Mahshid Hooshmand ID Student Number: 181517 13/06/2013 Introduction Overview.....2 Applications of

More information

International Journal of Engineering Research-Online A Peer Reviewed International Journal

International Journal of Engineering Research-Online A Peer Reviewed International Journal RESEARCH ARTICLE ISSN: 2321-7758 VLSI IMPLEMENTATION OF SERIES INTEGRATOR COMPOSITE FILTERS FOR SIGNAL PROCESSING MURALI KRISHNA BATHULA Research scholar, ECE Department, UCEK, JNTU Kakinada ABSTRACT The

More information

25.5 A Zero-Crossing Based 8b, 200MS/s Pipelined ADC

25.5 A Zero-Crossing Based 8b, 200MS/s Pipelined ADC 25.5 A Zero-Crossing Based 8b, 200MS/s Pipelined ADC Lane Brooks and Hae-Seung Lee Massachusetts Institute of Technology 1 Outline Motivation Review of Op-amp & Comparator-Based Circuits Introduction of

More information

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University Outline State of the Art for

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES. Masum Hossain University of Alberta

LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES. Masum Hossain University of Alberta LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES Masum Hossain University of Alberta 0 Outline Why ADC-Based receiver? Challenges in ADC-based receiver ADC-DSP based Receiver Reducing impact of Quantization

More information

Data Converter Overview: DACs and ADCs. Dr. Paul Hasler and Dr. Philip Allen

Data Converter Overview: DACs and ADCs. Dr. Paul Hasler and Dr. Philip Allen Data Converter Overview: DACs and ADCs Dr. Paul Hasler and Dr. Philip Allen The need for Data Converters ANALOG SIGNAL (Speech, Images, Sensors, Radar, etc.) PRE-PROCESSING (Filtering and analog to digital

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Design & Simulation of 128x Interpolator Filter

Design & Simulation of 128x Interpolator Filter Design & Simulation of 128x Interpolator Filter Rahul Sinha 1, Sonika 2 1 Dept. of Electronics & Telecommunication, CSIT, DURG, CG, INDIA rsinha.vlsieng@gmail.com 2 Dept. of Information Technology, CSIT,

More information

How advances in digitizer technologies improve measurement accuracy

How advances in digitizer technologies improve measurement accuracy How advances in digitizer technologies improve measurement accuracy Impacts of oscilloscope signal integrity Oscilloscopes Page 2 By choosing an oscilloscope with superior signal integrity you get the

More information

1722 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 6, JULY 2008

1722 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 6, JULY 2008 1722 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 6, JULY 2008 Fourth-Order Cascaded 61 Modulator Using Tri-Level Quantization and Bandpass Noise Shaping for Broadband Telecommunication

More information

EE262: Integrated Analog Circuit Design

EE262: Integrated Analog Circuit Design EE262: Integrated Analog Circuit Design Instructor: Dr. James Morizio Home phone: 919-596-8069, Cell Phone 919-225-0615 email: jmorizio@ee.duke.edu Office hours: Thursdays 5:30-6:30pm Grader: Himanshu

More information

Full-custom design of split-set data weighted averaging with output register for jitter suppression

Full-custom design of split-set data weighted averaging with output register for jitter suppression IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Full-custom design of split-set data weighted averaging with output register for jitter suppression To cite this article: M C

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

An Improved Recursive and Non-recursive Comb Filter for DSP Applications

An Improved Recursive and Non-recursive Comb Filter for DSP Applications eonode Inc From the SelectedWorks of Dr. oita Teymouradeh, CEng. 2006 An Improved ecursive and on-recursive Comb Filter for DSP Applications oita Teymouradeh Masuri Othman Available at: https://works.bepress.com/roita_teymouradeh/4/

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Design of an Error Output Feedback Digital Delta Sigma Modulator with In Stage Dithering for Spur Free Output Spectrum

Design of an Error Output Feedback Digital Delta Sigma Modulator with In Stage Dithering for Spur Free Output Spectrum Vol. 9, No. 9, 208 Design of an Error Output Feedback Digital Delta Sigma odulator with In Stage Dithering for Spur Free Output Spectrum Sohail Imran Saeed Department of Electrical Engineering Iqra National

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 12: Divider Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Divider Basics Dynamic CMOS

More information

Investigation of Digital Signal Processing of High-speed DACs Signals for Settling Time Testing

Investigation of Digital Signal Processing of High-speed DACs Signals for Settling Time Testing Universal Journal of Electrical and Electronic Engineering 4(2): 67-72, 2016 DOI: 10.13189/ujeee.2016.040204 http://www.hrpub.org Investigation of Digital Signal Processing of High-speed DACs Signals for

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering Digitally Assisted Analog Circuits Boris Murmann Stanford University Department of Electrical Engineering murmann@stanford.edu Motivation Outline Progress in digital circuits has outpaced performance growth

More information

DELTA MODULATION AND DPCM CODING OF COLOR SIGNALS

DELTA MODULATION AND DPCM CODING OF COLOR SIGNALS DELTA MODULATION AND DPCM CODING OF COLOR SIGNALS Item Type text; Proceedings Authors Habibi, A. Publisher International Foundation for Telemetering Journal International Telemetering Conference Proceedings

More information

Guidance For Scrambling Data Signals For EMC Compliance

Guidance For Scrambling Data Signals For EMC Compliance Guidance For Scrambling Data Signals For EMC Compliance David Norte, PhD. Abstract s can be used to help mitigate the radiated emissions from inherently periodic data signals. A previous paper [1] described

More information

Introduction to Data Conversion and Processing

Introduction to Data Conversion and Processing Introduction to Data Conversion and Processing The proliferation of digital computing and signal processing in electronic systems is often described as "the world is becoming more digital every day." Compared

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion What the heck is analog to digital conversion? Why do we care? Analog to Digital Conversion What the heck is analog to digital conversion? Why do we care? A means to convert

More information

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability Nikolaos Minas David Kinniment Keith Heron Gordon Russell Outline of Presentation Introduction Background in Time-to-Digital

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Dual Slope ADC Design from Power, Speed and Area Perspectives

Dual Slope ADC Design from Power, Speed and Area Perspectives Dual Slope ADC Design from Power, Speed and Area Perspectives Isaac Macwan, Xingguo Xiong, Lawrence Hmurcik Department of Electrical & Computer Engineering, University of Bridgeport, Bridgeport, CT 06604

More information

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course Session Number 1532 Adding Analog and Mixed Signal Concerns to a Digital VLSI Course John A. Nestor and David A. Rich Department of Electrical and Computer Engineering Lafayette College Abstract This paper

More information

Technology Scaling Issues of an I DDQ Built-In Current Sensor

Technology Scaling Issues of an I DDQ Built-In Current Sensor Technology Scaling Issues of an I DDQ Built-In Current Sensor Bin Xue, D. M. H. Walker Dept. of Computer Science Texas A&M University College Station TX 77843-3112 Tel: (979) 862-4387 Email: {binxue, walker}@cs.tamu.edu

More information

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Akash Singh Rawat 1, Kirti Gupta 2 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering,

More information

Tutorial on Technical and Performance Benefits of AD719x Family

Tutorial on Technical and Performance Benefits of AD719x Family The World Leader in High Performance Signal Processing Solutions Tutorial on Technical and Performance Benefits of AD719x Family AD7190, AD7191, AD7192, AD7193, AD7194, AD7195 This slide set focuses on

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 105 MSPS ADC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 105 MSPS ADC LTC2280, LTC2282, LTC2284, LTC2286, LTC2287, LTC2288 LTC2289, LTC2290, LTC2291, LTC2292, LTC2293, LTC2294, LTC2295, LTC2296, LTC2297, LTC2298 or LTC2299 DESCRIPTION Demonstration circuit 851 supports a

More information

Delta-Sigma Modulators

Delta-Sigma Modulators Delta-Sigma Modulators Modeling, Design and Applications George I Bourdopoulos University ofpatras, Greece Aristodemos Pnevmatikakis Athens Information Technology, Greece Vassilis Anastassopoulos University

More information

The Distortion Magnifier

The Distortion Magnifier The Distortion Magnifier Bob Cordell January 13, 2008 Updated March 20, 2009 The Distortion magnifier described here provides ways of measuring very low levels of THD and IM distortions. These techniques

More information

Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns

Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns Design Note: HFDN-33.0 Rev 0, 8/04 Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns MAXIM High-Frequency/Fiber Communications Group AVAILABLE 6hfdn33.doc Using

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

ISSN:

ISSN: 427 AN EFFICIENT 64-BIT CARRY SELECT ADDER WITH REDUCED AREA APPLICATION CH PALLAVI 1, VSWATHI 2 1 II MTech, Chadalawada Ramanamma Engg College, Tirupati 2 Assistant Professor, DeptofECE, CREC, Tirupati

More information

BER MEASUREMENT IN THE NOISY CHANNEL

BER MEASUREMENT IN THE NOISY CHANNEL BER MEASUREMENT IN THE NOISY CHANNEL PREPARATION... 2 overview... 2 the basic system... 3 a more detailed description... 4 theoretical predictions... 5 EXPERIMENT... 6 the ERROR COUNTING UTILITIES module...

More information

Detection and demodulation of non-cooperative burst signal Feng Yue 1, Wu Guangzhi 1, Tao Min 1

Detection and demodulation of non-cooperative burst signal Feng Yue 1, Wu Guangzhi 1, Tao Min 1 International Conference on Applied Science and Engineering Innovation (ASEI 2015) Detection and demodulation of non-cooperative burst signal Feng Yue 1, Wu Guangzhi 1, Tao Min 1 1 China Satellite Maritime

More information

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS Phaneendra Bikkina 1, Qingjun Fan 2, Wenlan Wu 1, Jinghong Chen 2 and Esko Mikkola 1 1 Alphacore, Inc., 2 University of Houston 2017 CASPER Workshop Pasadena,

More information

Analog Input & Output

Analog Input & Output EEL 4744C: Microprocessor Applications Lecture 10 Part 1 Analog Input & Output Dr. Tao Li 1 Read Assignment M&M: Chapter 11 Dr. Tao Li 2 To process continuous signals as functions of time Advantages free

More information

BASIC LINEAR DESIGN. Hank Zumbahlen Editor Analog Devices, Inc. All Rights Reserved

BASIC LINEAR DESIGN. Hank Zumbahlen Editor Analog Devices, Inc. All Rights Reserved BASIC LINEAR DESIGN Hank Zumbahlen Editor A 2007 Analog Devices, Inc. All Rights Reserved Preface: This work is based on the work of many other individuals who have been involved with applications and

More information

DESIGN PHILOSOPHY We had a Dream...

DESIGN PHILOSOPHY We had a Dream... DESIGN PHILOSOPHY We had a Dream... The from-ground-up new architecture is the result of multiple prototype generations over the last two years where the experience of digital and analog algorithms and

More information

Modified Sigma-Delta Converter and Flip-Flop Circuits Used for Capacitance Measuring

Modified Sigma-Delta Converter and Flip-Flop Circuits Used for Capacitance Measuring Modified Sigma-Delta Converter and Flip-Flop Circuits Used for Capacitance Measuring MILAN STORK Department of Applied Electronics and Telecommunications University of West Bohemia P.O. Box 314, 30614

More information

Reconfigurable Neural Net Chip with 32K Connections

Reconfigurable Neural Net Chip with 32K Connections Reconfigurable Neural Net Chip with 32K Connections H.P. Graf, R. Janow, D. Henderson, and R. Lee AT&T Bell Laboratories, Room 4G320, Holmdel, NJ 07733 Abstract We describe a CMOS neural net chip with

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Volume-6, Issue-3, May-June 2016 International Journal of Engineering and Management Research Page Number: 753-757 Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Anshu

More information

Implementation of a turbo codes test bed in the Simulink environment

Implementation of a turbo codes test bed in the Simulink environment University of Wollongong Research Online Faculty of Informatics - Papers (Archive) Faculty of Engineering and Information Sciences 2005 Implementation of a turbo codes test bed in the Simulink environment

More information

Design and VLSI Implementation of Oversampling Sigma Delta Digital to Analog Convertor Used For Hearing Aid Application

Design and VLSI Implementation of Oversampling Sigma Delta Digital to Analog Convertor Used For Hearing Aid Application Page48 Design and VLSI Implementation of Oversampling Sigma Delta Digital to Analog Convertor Used For Hearing Aid Application ABSTRACT: Anusheya M* & Selvi S** *PG scholar, Department of Electronics and

More information

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) Chapter 2 Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) ---------------------------------------------------------------------------------------------------------------

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

Dac3 White Paper. These Dac3 goals where to be achieved through the application and use of optimum solutions for:

Dac3 White Paper. These Dac3 goals where to be achieved through the application and use of optimum solutions for: Dac3 White Paper Design Goal The design goal for the Dac3 was to set a new standard for digital audio playback components through the application of technical advances in Digital to Analog Conversion devices

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

BOST With Multi-Bit Delta-Sigma TDC

BOST With Multi-Bit Delta-Sigma TDC Timing Measurement BOST With Multi-Bit Delta-Sigma TDC Takeshi Chujo, Daiki Hirabayashi Takuya Arafune, Shohei Shibuya Shu Sasaki, Haruo Kobayashi Division of Electronics and Informatics, Gunma University,

More information

Quartzlock Model A7-MX Close-in Phase Noise Measurement & Ultra Low Noise Allan Variance, Phase/Frequency Comparison

Quartzlock Model A7-MX Close-in Phase Noise Measurement & Ultra Low Noise Allan Variance, Phase/Frequency Comparison Quartzlock Model A7-MX Close-in Phase Noise Measurement & Ultra Low Noise Allan Variance, Phase/Frequency Comparison Measurement of RF & Microwave Sources Cosmo Little and Clive Green Quartzlock (UK) Ltd,

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Readout techniques for drift and low frequency noise rejection in infrared arrays

Readout techniques for drift and low frequency noise rejection in infrared arrays Readout techniques for drift and low frequency noise rejection in infrared arrays European Southern Observatory Finger, G., Dorn, R.J, Hoffman, A.W., Mehrgan, H., Meyer, M., Moorwood, A.F.M., Stegmeier,

More information

DDC and DUC Filters in SDR platforms

DDC and DUC Filters in SDR platforms Conference on Advances in Communication and Control Systems 2013 (CAC2S 2013) DDC and DUC Filters in SDR platforms RAVI KISHORE KODALI Department of E and C E, National Institute of Technology, Warangal,

More information

Abstract. Scaling of CMOS to nanometer dimensions has enabled dramatic improvement in

Abstract. Scaling of CMOS to nanometer dimensions has enabled dramatic improvement in Abstract Scaling of CMOS to nanometer dimensions has enabled dramatic improvement in digital power efficiency, with lower V DD supply voltage and decreased power consumption for logic functions. However,

More information

Dual frame motion compensation for a rate switching network

Dual frame motion compensation for a rate switching network Dual frame motion compensation for a rate switching network Vijay Chellappa, Pamela C. Cosman and Geoffrey M. Voelker Dept. of Electrical and Computer Engineering, Dept. of Computer Science and Engineering

More information

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6 18.6 Data Recovery and Retiming for the Fully Buffered DIMM 4.8Gb/s Serial Links Hamid Partovi 1, Wolfgang Walthes 2, Luca Ravezzi 1, Paul Lindt 2, Sivaraman Chokkalingam 1, Karthik Gopalakrishnan 1, Andreas

More information

Reduced complexity MPEG2 video post-processing for HD display

Reduced complexity MPEG2 video post-processing for HD display Downloaded from orbit.dtu.dk on: Dec 17, 2017 Reduced complexity MPEG2 video post-processing for HD display Virk, Kamran; Li, Huiying; Forchhammer, Søren Published in: IEEE International Conference on

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

Copyright. Robert Alexander Fontaine

Copyright. Robert Alexander Fontaine Copyright by Robert Alexander Fontaine 2013 The Report Committee for Robert Alexander Fontaine Certifies that this is the approved version of the following report: Investigation of 10-Bit SAR ADC Using

More information

Agilent Understanding the Agilent 34405A DMM Operation Application Note

Agilent Understanding the Agilent 34405A DMM Operation Application Note Agilent Understanding the Agilent 34405A DMM Operation Application Note Introduction Digital multimeter (DMM) is a basic device in the electrical world and its functions are usually not fully utilized.

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in themodel answer scheme. 2) The model answer and the answer written by candidate may

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Research Article Low Power 256-bit Modified Carry Select Adder

Research Article Low Power 256-bit Modified Carry Select Adder Research Journal of Applied Sciences, Engineering and Technology 8(10): 1212-1216, 2014 DOI:10.19026/rjaset.8.1086 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

SINOAUDI TeddyDAC Digital to Analogue Converter white paper Teddy Pardo

SINOAUDI TeddyDAC Digital to Analogue Converter white paper Teddy Pardo TeddyDAC Digital to Analogue Converter white paper Teddy Pardo Contents Contents 2 Introduction 2 About the TeddyDAC 2 Design Highlights 3 Architecture 3 Receiver 3 Construction 7 Digital Sources 7 In

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Experiment 9 Analog/Digital Conversion

Experiment 9 Analog/Digital Conversion Experiment 9 Analog/Digital Conversion Introduction Most digital signal processing systems are interfaced to the analog world through analogto-digital converters (A/D) and digital-to-analog converters

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

IC Design of a New Decision Device for Analog Viterbi Decoder

IC Design of a New Decision Device for Analog Viterbi Decoder IC Design of a New Decision Device for Analog Viterbi Decoder Wen-Ta Lee, Ming-Jlun Liu, Yuh-Shyan Hwang and Jiann-Jong Chen Institute of Computer and Communication, National Taipei University of Technology

More information

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 1 Mrs.K.K. Varalaxmi, M.Tech, Assoc. Professor, ECE Department, 1varuhello@Gmail.Com 2 Shaik Shamshad

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 65 MSPS DUAL ADC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 65 MSPS DUAL ADC LTC2286, LTC2287, LTC2288, LTC2290, LTC2291, LTC2292, LTC2293, LTC2294, LTC2295, LTC2296, LTC2297, LTC2298 or LTC2299 DESCRIPTION Demonstration circuit 816 supports a family of s. Each assembly features

More information

Clock Jitter Cancelation in Coherent Data Converter Testing

Clock Jitter Cancelation in Coherent Data Converter Testing Clock Jitter Cancelation in Coherent Data Converter Testing Kars Schaapman, Applicos Introduction The constantly increasing sample rate and resolution of modern data converters makes the test and characterization

More information

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE. On Industrial Automation and Control

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE. On Industrial Automation and Control INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE On Industrial Automation and Control By Prof. S. Mukhopadhyay Department of Electrical Engineering IIT Kharagpur Topic Lecture

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

DIRECT DIGITAL SYNTHESIS AND SPUR REDUCTION USING METHOD OF DITHERING

DIRECT DIGITAL SYNTHESIS AND SPUR REDUCTION USING METHOD OF DITHERING DIRECT DIGITAL SYNTHESIS AND SPUR REDUCTION USING METHOD OF DITHERING By Karnik Radadia Aka Patel Senior Thesis in Electrical Engineering University of Illinois Urbana-Champaign Advisor: Professor Jose

More information

Design Project: Designing a Viterbi Decoder (PART I)

Design Project: Designing a Viterbi Decoder (PART I) Digital Integrated Circuits A Design Perspective 2/e Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolić Chapters 6 and 11 Design Project: Designing a Viterbi Decoder (PART I) 1. Designing a Viterbi

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Hardware Implementation of Viterbi Decoder for Wireless Applications

Hardware Implementation of Viterbi Decoder for Wireless Applications Hardware Implementation of Viterbi Decoder for Wireless Applications Bhupendra Singh 1, Sanjeev Agarwal 2 and Tarun Varma 3 Deptt. of Electronics and Communication Engineering, 1 Amity School of Engineering

More information

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of Low Power and Area Efficient Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 3 Issue 8 ǁ August 2014 ǁ PP.36-48 Implementation of Low Power and Area Efficient Carry Select

More information

TERRESTRIAL broadcasting of digital television (DTV)

TERRESTRIAL broadcasting of digital television (DTV) IEEE TRANSACTIONS ON BROADCASTING, VOL 51, NO 1, MARCH 2005 133 Fast Initialization of Equalizers for VSB-Based DTV Transceivers in Multipath Channel Jong-Moon Kim and Yong-Hwan Lee Abstract This paper

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information