Using SignalTap II in the Quartus II Software

Size: px
Start display at page:

Download "Using SignalTap II in the Quartus II Software"

Transcription

1 White Paper Using SignalTap II in the Quartus II Software Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.1, helps reduce verification times by allowing you to conduct real-time board level tests of Altera devices. Traditional verification methods could be challenging for internal nodes, as they need to be routed to available I/O pins for data capture with an external logic analyzer. The SignalTap II analyzer provides access to an FPGA s internal signals. This white paper gives an overview of the many new features in the SignalTap II logic analyzer and explains how to use its various new options. Figure 1 shows a diagram of the SignalTap II analyzer. 1 To see an example of how to incorporate the SignalTap II Logic Analyzer in your design, refer to Implementation Example on page 3. Figure 1. SignalTap II Embedded Logic Analyzer Diagram The SignalTap II Logic Analyzer The SignalTap II logic analyzer is a second-generation system-level debugging tool that captures and displays real-time signal behavior in a system-on-a-programmable-chip (SOPC), providing the ability to observe interactions between hardware and software in system designs. Comprised of soft intellectual property (IP) cores, programming hardware, and analysis software, the SignalTap II logic analyzer allows you to inspect the FPGA s internal signals while they are running at system speeds, as well as view the captured data as waveforms on your PC or workstation. To use the SignalTap II logic analyzer, you must first create a SignalTap (.stp) file. The.stp file includes all of the configuration settings and stores the captured data. During Quartus II software compilation, the logic analyzer is automatically placed with the rest of the design. The resulting programming file is then used to configure the target FPGA. When the target FPGA is properly configured, the SignalTap II logic analyzer will begin data capture as soon as all trigger conditions are satisfied. WP-SIGNTAPQII-1.0 July 2002, ver

2 Hardware Requirements A MasterBlaster or ByteBlasterMV communication cable is required to download configuration data to the FPGA. These download cables also upload captured signal data from the FPGA s dedicated memory to the SignalTap II interface. Captured data is then displayed as logical waveforms in the SignalTap II interface. See the MasterBlaster Serial/USB Communications Cable Data Sheet and the ByteBlasterMV Parallel Port Download Cable Data Sheet for more information. Software Requirements The SignalTap II logic analyzer is available in the Quartus II software version 2.1 and the Quartus II Web Edition version 2.1. Table 1 shows the device support for the SignalTap II logic analyzer. Table 1. SignalTap II Device Support Device Support Stratix devices Full Support in the Quartus II software version 2.1 service pack 1 (SP1). (1) Excalibur devices APEX II devices APEX 20KE devices APEX 20KC devices APEX 20K devices Mercury devices ACEX 1K devices Not supported FLEX 10KE devices Not supported FLEX 6000 devices Not supported MAX 7000B devices Not supported Note to Table 1: (1) Dependant on programming file generation for each device. SignalTap II Analyzer Configuration The SignalTap II logic analyzer can be configured in two ways. The first method uses the FPGA s internal memory to store captured data. The captured data is then transferred from internal memory to the SignalTap II interface via an Altera download cable. With this method, the percentage of resources used in a device is a function of the sample depth, number of channels, and the number of trigger levels specified in the.stp file. For detailed information on resource utilization, refer to Tables 2 through 5 on page 5. The secondconfiguration method uses the FPGA s available I/O pins. This requires the use of an external logic analyzer or oscilloscope and is used when you would like to preserve the on-chip memory resources. Signals are routed from the inside of the device to available I/O pins. For more information on using this method, refer to Debug Ports in the Quartus II Help. 2

3 Implementation Example The following steps are required to use the SignalTap II logic analyzer to perform board-level verification. 1. To open a new.stp file, choose New (File menu), then click the Other Files tab and select SignalTap II File. Click OK. The SignalTap II window (shown in Figure 2 on page 4) appears. 2. Launch the Node Finder by double-clicking anywhere in the Setup tab of the SignalTap II window. 3. Select the signals that are to be captured and copy them to the Selected Nodes list by clicking the red arrow icon in the SignalTap II window. Click OK. 4. Select a clock signal by clicking the Browse button (...) under Clock in the Signal Configuration panel. 5. Specify the sample depth in the Sample Depth list in the Signal Configuration panel. 6. Save the.stp file. 7. Choose Compiler Settings (Processing menu). Click the SignalTap II Logic Analyzer tab, and turn on Enable SignalTap II Logic Analyzer. In the SignalTap II File name box, type the name of the.stp file you want to compile, or select a file name by clicking the Browse button (...). 8. Compile the design. 9. In the JTAG Chain Configuration panel, select your programming hardware from the Hardware drop-down list, then type the path or browse to the download file. 10. Verify that the programming cable is connecting the Quartus II software to the device on the board, then download the file to the device by clicking the Download File icon. 11. Click the Run Analyzer button (red arrow) to start the analysis. To view the results, click the Data tab in the SignalTap II window. Figure 2 shows the SignalTap II window, and Figure 3 illustrates an example design flow process using the SignalTap II feature. For detailed information on setting up the SignalTap II logic analyzer, go to Overview: Using the SignalTap II Logic Analyzer in the Quartus II Help. 3

4 Figure 2. SignalTap II User Interface Figure 3. SignalTap II Flow Diagram Your HDL Design Setup Your Design Synthesis, Place & Route Program Device under Test Use Node Finder to Select Signals Setup SignalTap II Embedded Logic Analyzer Set up Signals, Trigger Conditions, and Trigger Levels Synthesis, Place & Route Program Device under Test Capture Samples and Analyze View Samples in Quartus II Software Identify Source of Problem 4

5 Resource Utilization Tables 2 through 5 show the SignalTap II resource utilization. The number of channels, triggers, and samples that a design can support is limited by the available device resources. Table 2. SignalTap II Logic Element (LE) Utilization Number of Stratix LEs APEX II LEs APEX 20K LEs Channels Number of Trigger Levels ,033 1, ,295 1, , ,295 3,662 5,034 2,803 4,670 6,543 3,802 4,669 6,542 1,024 8,696 14,158 19,626 10,704 18,171 26,037 9,174 16,128 23,082 Table 3. M4K Memory Block Utilization in Stratix Devices Number of Number of Samples Channels ,024 2,048 32, Table 4. APEX II Embedded System Block (ESB) Utilization Number of Number of Samples Channels ,024 2,048 32, Table 5. APEX 20K, APEX 20KE & APEX 20KC ESB Utilization Number of Number of Samples Channels ,024 2,048 32, ,

6 SignalTap II Features & Benefits Table 6 lists the features and benefits associated with the SignalTap II logic analyzer. The sections that follow describe each feature. Table 6. SignalTap II Features & Benefits Feature Multiple Instances Multi-Level Triggering Multiple Trigger Positions Up to 1,024 channels in each instance Up to 128 K for each channel Mnemonic Table Auto-Detect Devices in JTAG Chain Trigger Input/Trigger Output Signal List File Data Log Benefit Supports multiple clock domains in a single device Allows you to apply complex filters, thereby capturing only the data that you really want to analyze Each trigger can be setup to sample at different ranges relative to the triggering event, allowing more accurate data collection You can sample many signals, allowing for a great deal of data collection to locate the problem Allows a large sample set of data to be acquired for a given signal Labels a bit pattern with a pre-defined value created by the engineer Confirms the connection to a device before attempting to initiate data capture SignalTap II logic analyzer can use an external event to trigger data capture Allows you to view waveforms in a textual format Allows you to recall captured data from previous runs of SignalTap II Multiple Instances The SignalTap II logic analyzer includes support for multiple instances of the logic analyzer in each device. This feature allows the user to create a unique logic analyzer for each clock domain that is present in the FPGA. In the top portion of the SignalTap II window, there is a new panel called the Instance Manager, shown in Figure 4. Selecting an instance from within the Instance Manager displays the set of signals that belong to the selected instance. To add an instance, right click in the Instance Manager panel and select Add Instance. To remove an instance from the Instance Manager, first select the instance to be removed, then right click and select Remove Instance. Figure 4. Instance Manager Multi-Level Triggering You can configure the SignalTap II tool with up to ten trigger levels. This capability offers a great deal of flexibility and allows you to set complex triggering conditions, making it easier to isolate the conditions that cause a functional failure. Multi-level triggering also allows you to view only the most relevant signal data, thus reducing the number of samples and making it easier to locate the source of the problem. The multiple trigger levels are logically ANDED together, and once all of the trigger conditions are satisfied, data capture will commence. To setup multiple trigger levels, use the Signal Configuration panel (shown in Figure 5). 6

7 Figure 5. Signal Configuration Panel. Multiple Trigger Positions The SignalTap II logic analyzer supports four separate trigger positions: pre-, center, post, and continuous. Acquired data is placed in a circular buffer with the newest samples replacing the oldest. The pre-trigger position tells the software to report 12% of the samples that occurred before the trigger condition was met, and 88% of the samples that occurred after the trigger condition was met. The center trigger position tells the software to report 50% of the samples that occurred before the trigger condition was met, and 50% of the samples that occurred after the trigger condition was met. The post trigger position tells the software to report 88% of the samples that occurred before the trigger condition was met, and 12% of the samples that occurred after the trigger condition was met. The continuous trigger position tells the software to save and report samples continuously even when the trigger condition is met, until terminated by the user. 7

8 Up to 1,024 Channels in Each Device The number of channels that can be supported in a specific design is largely a function of the available device resources, i.e., logic elements (LEs) and RAM (for more information, see Tables 2 through 5 on page 5). The SignalTap II logic analyzer is capable of managing up to 1,024 channels for each instance. Up to 128 K Samples in Each Device The number of samples that can be stored in the embedded memory of an Altera device is a function of the surplus memory resources on the device that are not consumed by the design under test. However, the SignalTap II logic analyzer can support up to 128 K samples for each channel that is analyzed. Mnemonic Table You can configure the SignalTap II logic analyzer to create mnemonic tables for a group of signals. The mnemonic table feature allows you to assign a set of bit patterns to a name that you define, making captured data easier to locate and utilize. To create a mnemonic table, right click in the Setup view of a SignalTap II window and select Mnemonic Table Setup. Figure 6 shows the Mnemonic Setup dialog box. To assign a group of signals to a mnemonic value, right click on the group and select Bus Display Setup. Figure 6. Mnemonic Setup 8

9 Auto-detect Devices in a JTAG Chain The SignalTap II JTAG Chain Configuration panel (shown in Figure 7) will automatically scan the hardware to determine that the devices that are physically in the JTAG chain. You can then select the device for verification by selecting the appropriate FPGA in the Device drop-down menu. To configure the SignalTap II logic analyzer to automatically detect devices in the JTAG chain, select the Altera Programming Cable from the Hardware drop-down box and click Scan Chain. Figure 7. JTAG Chain Configuration Dialog Box Trigger Input/Trigger Output The SignalTap II logic analyzer can be configured to capture data when an event external to the FPGA occurs. The external signal is input to a user-defined input pin. Data capture will commence once the external signal matches the user-defined trigger-in input pattern. To use the trigger in functionality, turn on the Trigger In check box in the Signal Configuration panel. Then browse to an input pin in the design using the Node Finder in the Signal Configuration panel. (To launch the Node Finder, double-click anywhere in the Setup tab of the SignalTap II window.) The SignalTap II logic analyzer can output a trigger out signal when a trigger event has occurred. To utilize the trigger out functionality, turn on the trigger out option in the Signal Configuration panel and select an output pin that can be used as the trigger out. The trigger out output level can be selected from either active high or active low. 9

10 Signal List File The SignalTap II logic analyzer can create a text list file that contains the values of each signal at each sample point. This data mirrors that of the Data view in the SignalTap II window. To create the list file, right click while in the Data view panel and select Create List File. The default name for the list file is <stp file name>.txt. Data Log The data log shows a history of captured data previously acquired with the SignalTap II logic analyzer. If the Data Log check box is turned on in the Data Log panel, then signal data will be saved on each run. The default name for the Data Log entry is log:<date><time><#sample number>. Conclusion As FPGAs decrease in size and increase in complexity, verification engineers will find it increasingly difficult to access device I/O pins for debugging. With the aid of the SignalTap II logic analyzer, this problem becomes virtually non-existent. The SignalTap II logic analyzer offers an easy-to-use and intuitive interface that can capture real-time data at system speeds without the use of an external logic analyzer. Signal capture is accomplished by allocating device resources within the device to embed the SignalTap II logic analyzer. Captured data is then stored in the embedded memory blocks of the FPGA and transferred to the SignalTap II interface via an Altera download cable. The SignalTap II logic analyzer offers a variety of configurations, making it the ideal tool for FPGA verification. Available exclusively in the Quartus II design software, the SignalTap II tool supports the highest number of channels, sample depth, and clock speeds of any logic analyzer in the programmable logic market. 10

SignalTap Analysis in the Quartus II Software Version 2.0

SignalTap Analysis in the Quartus II Software Version 2.0 SignalTap Analysis in the Quartus II Software Version 2.0 September 2002, ver. 2.1 Application Note 175 Introduction As design complexity for programmable logic devices (PLDs) increases, traditional methods

More information

CHAPTER 3 EXPERIMENTAL SETUP

CHAPTER 3 EXPERIMENTAL SETUP CHAPTER 3 EXPERIMENTAL SETUP In this project, the experimental setup comprised of both hardware and software. Hardware components comprised of Altera Education Kit, capacitor and speaker. While software

More information

SignalTap: An In-System Logic Analyzer

SignalTap: An In-System Logic Analyzer SignalTap: An In-System Logic Analyzer I. Introduction In this chapter we will learn 1 how to use SignalTap II (SignalTap) (Altera Corporation 2010). This core is a logic analyzer provided by Altera that

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

EXOSTIV TM. Frédéric Leens, CEO

EXOSTIV TM. Frédéric Leens, CEO EXOSTIV TM Frédéric Leens, CEO A simple case: a video processing platform Headers & controls per frame : 1.024 bits 2.048 pixels 1.024 lines Pixels per frame: 2 21 Pixel encoding : 36 bit Frame rate: 24

More information

3. Configuration and Testing

3. Configuration and Testing 3. Configuration and Testing C51003-1.4 IEEE Std. 1149.1 (JTAG) Boundary Scan Support All Cyclone devices provide JTAG BST circuitry that complies with the IEEE Std. 1149.1a-1990 specification. JTAG boundary-scan

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project COE758 Xilinx ISE 9.2 Tutorial 2 ChipScope Overview Integrating ChipScope Pro into a project Conventional Signal Sampling Xilinx Spartan 3E FPGA JTAG 2 ChipScope Pro Signal Sampling Xilinx Spartan 3E FPGA

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Introduction This lab will be an introduction on how to use ChipScope for the verification of the designs done on

More information

LAX_x Logic Analyzer

LAX_x Logic Analyzer Legacy documentation LAX_x Logic Analyzer Summary This core reference describes how to place and use a Logic Analyzer instrument in an FPGA design. Core Reference CR0103 (v2.0) March 17, 2008 The LAX_x

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Introduction To LabVIEW and the DSP Board

Introduction To LabVIEW and the DSP Board EE-289, DIGITAL SIGNAL PROCESSING LAB November 2005 Introduction To LabVIEW and the DSP Board 1 Overview The purpose of this lab is to familiarize you with the DSP development system by looking at sampling,

More information

Implementing Audio IP in SDI II on Arria V Development Board

Implementing Audio IP in SDI II on Arria V Development Board Implementing Audio IP in SDI II on Arria V Development Board AN-697 Subscribe This document describes a reference design that uses the Audio Embed, Audio Extract, Clocked Audio Input and Clocked Audio

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

ECE 270 Lab Verification / Evaluation Form. Experiment 9

ECE 270 Lab Verification / Evaluation Form. Experiment 9 ECE 270 Lab Verification / Evaluation Form Experiment 9 Evaluation: IMPORTANT! You must complete this experiment during your scheduled lab period. All work for this experiment must be demonstrated to and

More information

In-System Programmability Guidelines

In-System Programmability Guidelines In-System Programmability Guidelines May 1999, ver. 3 Application Note 100 Introduction As time-to-market pressures increase, design engineers require advanced system-level products to ensure problem-free

More information

Quick Reference Manual

Quick Reference Manual Quick Reference Manual V1.0 1 Contents 1.0 PRODUCT INTRODUCTION...3 2.0 SYSTEM REQUIREMENTS...5 3.0 INSTALLING PDF-D FLEXRAY PROTOCOL ANALYSIS SOFTWARE...5 4.0 CONNECTING TO AN OSCILLOSCOPE...6 5.0 CONFIGURE

More information

LMH0340/LMH0341 SerDes EVK User Guide

LMH0340/LMH0341 SerDes EVK User Guide LMH0340/LMH0341 SerDes EVK User Guide July 1, 2008 Version 1.05 1 1... Overview 3 2... Evaluation Kit (SD3GXLEVK) Contents 3 3... Hardware Setup 4 3.1 ALP100 BOARD (MAIN BOARD) DESCRIPTION 5 3.2 SD340EVK

More information

FPGA TechNote: Asynchronous signals and Metastability

FPGA TechNote: Asynchronous signals and Metastability FPGA TechNote: Asynchronous signals and Metastability This Doulos FPGA TechNote gives a brief overview of metastability as it applies to the design of FPGAs. The first section introduces metastability

More information

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on

More information

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files DE2-115/FGPA README For questions email: jeff.nicholls.63@gmail.com (do not hesitate!) This document serves the purpose of providing additional information to anyone interested in operating the DE2-115

More information

IP LIVE PRODUCTION UNIT NXL-IP55

IP LIVE PRODUCTION UNIT NXL-IP55 IP LIVE PRODUCTION UNIT NXL-IP55 OPERATION MANUAL 1st Edition (Revised 2) [English] Table of Contents Overview...3 Features... 3 Transmittable Signals... 3 Supported Networks... 3 System Configuration

More information

Achieving Timing Closure in ALTERA FPGAs

Achieving Timing Closure in ALTERA FPGAs Achieving Timing Closure in ALTERA FPGAs Course Description This course provides all necessary theoretical and practical know-how to write system timing constraints for variety designs in ALTERA FPGAs.

More information

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1 Debugging of Verilog Hardware Designs on Altera s DE-Series Boards For Quartus Prime 15.1 1 Introduction This tutorial presents some basic debugging concepts that can be helpful in creating Verilog designs

More information

Altera s Max+plus II Tutorial

Altera s Max+plus II Tutorial Altera s Max+plus II Tutorial Written by Kris Schindler To accompany Digital Principles and Design (by Donald D. Givone) 8/30/02 1 About Max+plus II Altera s Max+plus II is a powerful simulation package

More information

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Using the XC9500/XL/XV JTAG Boundary Scan Interface Application Note: XC95/XL/XV Family XAPP69 (v3.) December, 22 R Using the XC95/XL/XV JTAG Boundary Scan Interface Summary This application note explains the XC95 /XL/XV Boundary Scan interface and demonstrates

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide for the LatticeECP3 Serial Protocol Board User s Guide March 2011 UG24_01.4 Introduction This document provides technical information and instructions on using the LatticeECP3 SERDES Eye/Backplane Demo

More information

Using the Quartus II Chip Editor

Using the Quartus II Chip Editor Using the Quartus II Chip Editor June 2003, ver. 1.0 Application Note 310 Introduction Altera FPGAs have made tremendous advances in capacity and performance. Today, Altera Stratix and Stratix GX devices

More information

Remote Application Update for the RCM33xx

Remote Application Update for the RCM33xx Remote Application Update for the RCM33xx AN418 The common method of remotely updating an embedded application is to write directly to parallel flash. This is a potentially dangerous operation because

More information

TECHNICAL MANUAL. Cheetah VIDEO MATRIX ROUTERS 3G VIDEO INPUT CARD WITH AUDIO DE-EMBEDDING AND 3G VIDEO OUTPUT CARD WITH AUDIO EMBEDDING

TECHNICAL MANUAL. Cheetah VIDEO MATRIX ROUTERS 3G VIDEO INPUT CARD WITH AUDIO DE-EMBEDDING AND 3G VIDEO OUTPUT CARD WITH AUDIO EMBEDDING TECHNICAL MANUAL Cheetah VIDEO MATRIX ROUTERS 3G VIDEO INPUT CARD WITH AUDIO DE-EMBEDDING AND 3G VIDEO OUTPUT CARD WITH AUDIO EMBEDDING Publication: 81-9059-0658-0, Rev. A August, 2009 Thank You!! for

More information

FPGA Development for Radar, Radio-Astronomy and Communications

FPGA Development for Radar, Radio-Astronomy and Communications John-Philip Taylor Room 7.03, Department of Electrical Engineering, Menzies Building, University of Cape Town Cape Town, South Africa 7701 Tel: +27 82 354 6741 email: tyljoh010@myuct.ac.za Internet: http://www.uct.ac.za

More information

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family December 2011 CIII51002-2.3 2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family CIII51002-2.3 This chapter contains feature definitions for logic elements (LEs) and logic array blocks

More information

Lecture 10: Programmable Logic

Lecture 10: Programmable Logic Lecture 10: Programmable Logic We ve spent the past couple of lectures going over some of the applications of digital logic And we can easily think of more useful things to do like having a 7-segment LED

More information

IP LIVE PRODUCTION UNIT NXL-IP55 USO RESTRITO. OPERATION MANUAL 1st Edition (Revised 2) [English]

IP LIVE PRODUCTION UNIT NXL-IP55 USO RESTRITO. OPERATION MANUAL 1st Edition (Revised 2) [English] IP LIVE PRODUCTIO UIT XL-IP55 USO RESTRITO OPERATIO MAUAL 1st Edition (Revised 2) [English] Table of Contents Overview... 3 Features... 3 Transmittable Signals... 3 Supported etworks... 3 System Configuration

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

APPLICATION NOTE 4254 PRBS Mode Setup for the MAX9257/MAX9258 Evaluation Kit

APPLICATION NOTE 4254 PRBS Mode Setup for the MAX9257/MAX9258 Evaluation Kit Maxim > Design Support > Technical Documents > Application Notes > High-Speed Interconnect > APP 4254 Keywords: PRBS, pseudo-random bit sequence, serializer, deserializer, eye diagram, ECU, bit error rate

More information

DNA-STP-SYNC Synchronization and Screw Terminal Panel. User Manual

DNA-STP-SYNC Synchronization and Screw Terminal Panel. User Manual DNA-STP-SYNC Synchronization and Screw Terminal Panel User Manual Accessory Panel for PowerDNA Cube (DNA) Systems February 2009 Edition PN Man-DNA-STP-SYNC-0209 Version 1.2 Copyright 1998-2009 All rights

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 1.0 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

9. Synopsys PrimeTime Support

9. Synopsys PrimeTime Support 9. Synopsys PrimeTime Support December 2010 QII53005-10.0.1 QII53005-10.0.1 PrimeTime is the Synopsys stand-alone full chip, gate-level static timing analyzer. The Quartus II software makes it easy for

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

Arria-V FPGA interface to DAC/ADC Demo

Arria-V FPGA interface to DAC/ADC Demo Arria-V FPGA interface to DAC/ADC Demo 1. Scope Demonstrate Arria-V FPGA on dev.kit communicates to TI High-Speed DAC and ADC Demonstrate signal path from DAC to ADC is operating as part of the signal

More information

NanoCom ADS-B. Datasheet An ADS-B receiver for space applications

NanoCom ADS-B. Datasheet An ADS-B receiver for space applications NanoCom ADS-B Datasheet An ADS-B receiver for space applications 1 Table of contents 1 TABLE OF CONTENTS... 2 2 CHANGELOG... 3 3 INTRODUCTION... 4 4 OVERVIEW... 4 4.1 HIGHLIGHTED FEATURES... 4 4.2 BLOCK

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...4 4. User Guide...4 4.1.

More information

CI-218 / CI-303 / CI430

CI-218 / CI-303 / CI430 CI-218 / CI-303 / CI430 Network Camera User Manual English AREC Inc. All Rights Reserved 2017. l www.arec.com All information contained in this document is Proprietary Table of Contents 1. Overview 1.1

More information

Quick Start for TrueRTA (v3.5) on Windows XP (and earlier)

Quick Start for TrueRTA (v3.5) on Windows XP (and earlier) Skip directly to the section that covers your version of Windows (XP and earlier, Vista or Windows 7) Quick Start for TrueRTA (v3.5) on Windows XP (and earlier) Here are step-by-step instructions to get

More information

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family December 2011 CIII51014-2.3 12. IEEE 1149.1 (JTAG) Boundary-Scan Testing for the Cyclone III Device Family CIII51014-2.3 This chapter provides guidelines on using the IEEE Std. 1149.1 boundary-scan test

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package October 2001, ver. 2.0 User Guide Introduction The University Program (UP) Design Laboratory Package was designed to meet the needs of universities teaching

More information

FPGA Implementation of DA Algritm for Fir Filter

FPGA Implementation of DA Algritm for Fir Filter International Journal of Computational Engineering Research Vol, 03 Issue, 8 FPGA Implementation of DA Algritm for Fir Filter 1, Solmanraju Putta, 2, J Kishore, 3, P. Suresh 1, M.Tech student,assoc. Prof.,Professor

More information

Debugging of VHDL Hardware Designs on Altera s DE2 Boards

Debugging of VHDL Hardware Designs on Altera s DE2 Boards Debugging of VHDL Hardware Designs on Altera s DE2 Boards This tutorial presents some basic debugging concepts that can be helpful in creating VHDL designs for implementation on Altera s DE2 boards. It

More information

AMIQ-K2 Program for Transferring Various-Format I/Q Data to AMIQ. Products: AMIQ, SMIQ

AMIQ-K2 Program for Transferring Various-Format I/Q Data to AMIQ. Products: AMIQ, SMIQ Products: AMIQ, SMIQ AMIQ-K2 Program for Transferring Various-Format I/Q Data to AMIQ The software AMIQ-K2 enables you to read, convert, and transfer various-format I/Q data files to AMIQ format. AMIQ-K2

More information

Configuring the Stack ST8961 VS Module when used in conjunction with a Stack ST81xx series display.

Configuring the Stack ST8961 VS Module when used in conjunction with a Stack ST81xx series display. Configuring the Stack ST8961 VS Module when used in conjunction with a Stack ST81xx series display. Your Stack ST8961 VS module allows you to synchronize, overlay, and record data available on your Stack

More information

Positive Attendance. Overview What is Positive Attendance? Who may use Positive Attendance? How does the Positive Attendance option work?

Positive Attendance. Overview What is Positive Attendance? Who may use Positive Attendance? How does the Positive Attendance option work? Positive Attendance Overview What is Positive Attendance? Who may use Positive Attendance? How does the Positive Attendance option work? Setup Security Codes Absence Types Absence Reasons Attendance Periods/Bell

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package November 1999, ver. 1.02 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

Operating Instructions

Operating Instructions Operating Instructions HAEFELY TEST AG KIT Measurement Software Version 1.0 KIT / En Date Version Responsable Changes / Reasons February 2015 1.0 Initial version WARNING Introduction i Before operating

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU Version: 1.0 Date: December 14, 2004 Designed and Developed By: System Level Solutions,

More information

Automation Interface Requirements for J602 Basic I/O Interface of a DPC 4 Welding System

Automation Interface Requirements for J602 Basic I/O Interface of a DPC 4 Welding System - 1 - Automation Interface Requirements for J602 Basic I/O Interface of a DPC 4 Welding System The DPC 4 welding system offers several features that are intended to communicate with automation. These features

More information

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0. Entry Level Tool II Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 1.0.3 Date : October 7, 2005 Copyright 2005-2006,, Inc. (SLS) All

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

Using the XSV Board Xchecker Interface

Using the XSV Board Xchecker Interface Using the XSV Board Xchecker Interface May 1, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC9510 CPLD on the XSV Board to enable the programming

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

ENGR 1000, Introduction to Engineering Design

ENGR 1000, Introduction to Engineering Design ENGR 1000, Introduction to Engineering Design Unit 2: Data Acquisition and Control Technology Lesson 2.4: Programming Digital Ports Hardware: 12 VDC power supply Several lengths of wire NI-USB 6008 Device

More information

Remote Diagnostics and Upgrades

Remote Diagnostics and Upgrades Remote Diagnostics and Upgrades Tim Pender -Eastman Kodak Company 10/03/03 About this Presentation Motivation for Remote Diagnostics Reduce Field Maintenance costs Product needed to support 100 JTAG chains

More information

Data Acquisition Using LabVIEW

Data Acquisition Using LabVIEW Experiment-0 Data Acquisition Using LabVIEW Introduction The objectives of this experiment are to become acquainted with using computer-conrolled instrumentation for data acquisition. LabVIEW, a program

More information

Tools to Debug Dead Boards

Tools to Debug Dead Boards Tools to Debug Dead Boards Hardware Prototype Bring-up Ryan Jones Senior Application Engineer Corelis 1 Boundary-Scan Without Boundaries click to start the show Webinar Outline What is a Dead Board? Prototype

More information

GALILEO Timing Receiver

GALILEO Timing Receiver GALILEO Timing Receiver The Space Technology GALILEO Timing Receiver is a triple carrier single channel high tracking performances Navigation receiver, specialized for Time and Frequency transfer application.

More information

Getting Started with the LabVIEW Sound and Vibration Toolkit

Getting Started with the LabVIEW Sound and Vibration Toolkit 1 Getting Started with the LabVIEW Sound and Vibration Toolkit This tutorial is designed to introduce you to some of the sound and vibration analysis capabilities in the industry-leading software tool

More information

DT9834 Series High-Performance Multifunction USB Data Acquisition Modules

DT9834 Series High-Performance Multifunction USB Data Acquisition Modules DT9834 Series High-Performance Multifunction USB Data Acquisition Modules DT9834 Series High Performance, Multifunction USB DAQ Key Features: Simultaneous subsystem operation on up to 32 analog input channels,

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Major Differences Between the DT9847 Series Modules

Major Differences Between the DT9847 Series Modules DT9847 Series Dynamic Signal Analyzer for USB With Low THD and Wide Dynamic Range The DT9847 Series are high-accuracy, dynamic signal acquisition modules designed for sound and vibration applications.

More information

Processor time 9 Used memory 9. Lost video frames 11 Storage buffer 11 Received rate 11

Processor time 9 Used memory 9. Lost video frames 11 Storage buffer 11 Received rate 11 Processor time 9 Used memory 9 Lost video frames 11 Storage buffer 11 Received rate 11 2 3 After you ve completed the installation and configuration, run AXIS Installation Verifier from the main menu icon

More information

Logic Analyzer Triggering Techniques to Capture Elusive Problems

Logic Analyzer Triggering Techniques to Capture Elusive Problems Logic Analyzer Triggering Techniques to Capture Elusive Problems Efficient Solutions to Elusive Problems For digital designers who need to verify and debug their product designs, logic analyzers provide

More information

Multi RF Deck / Multi Control Head

Multi RF Deck / Multi Control Head Multi RF Deck / Multi Control Head The following sections provide a step-by-step instruction on the initial set-up and configuration for the NX-5xxx-series for multiple control heads and multiple RF decks.

More information

Use xtimecomposer and xscope to trace data in real-time

Use xtimecomposer and xscope to trace data in real-time Use xtimecomposer and xscope to trace data in real-time IN THIS DOCUMENT XN File Configuration Instrument a program Configure and run a program with tracing enabled Analyze data offline Analyze data in

More information

Lab 1 Introduction to the Software Development Environment and Signal Sampling

Lab 1 Introduction to the Software Development Environment and Signal Sampling ECEn 487 Digital Signal Processing Laboratory Lab 1 Introduction to the Software Development Environment and Signal Sampling Due Dates This is a three week lab. All TA check off must be completed before

More information

Optiflex Interactive Video System

Optiflex Interactive Video System Optiflex Interactive Video System Optiflex Interactive Video System 1 Before You Start...............2 On-site Video Surveillance.......6 Touchscreen Interface Viewing...10 Secure Remote Look-in........16

More information

PYROPTIX TM IMAGE PROCESSING SOFTWARE

PYROPTIX TM IMAGE PROCESSING SOFTWARE Innovative Technologies for Maximum Efficiency PYROPTIX TM IMAGE PROCESSING SOFTWARE V1.0 SOFTWARE GUIDE 2017 Enertechnix Inc. PyrOptix Image Processing Software v1.0 Section Index 1. Software Overview...

More information

Document History Version Comment Date

Document History Version Comment Date FAQ Inspector 2 (12) Document History Comment Date 1.04 Created 2008-09-11 Corrected the headline of S03 issue and the title name in the global header field 3 (12) Table Of Contents DOCUMENT HISTORY...

More information

Media Tube HO ActionPad Configuration Manual V0.2 User Version

Media Tube HO ActionPad Configuration Manual V0.2 User Version Media Tube HO Media Tube HO ActionPad Configuration Manual V0.2 User Version Cover: Media Tube HO RGBW/RGB/White Direct View Media Tube HO RGBW/RGB/White Diffused CONTENT 1. INTRODUCTIOn 3 2. Connection

More information

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies 6 Dec 24 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

Integration of Virtual Instrumentation into a Compressed Electricity and Electronic Curriculum

Integration of Virtual Instrumentation into a Compressed Electricity and Electronic Curriculum Integration of Virtual Instrumentation into a Compressed Electricity and Electronic Curriculum Arif Sirinterlikci Ohio Northern University Background Ohio Northern University Technological Studies Department

More information

How to overcome/avoid High Frequency Effects on Debug Interfaces Trace Port Design Guidelines

How to overcome/avoid High Frequency Effects on Debug Interfaces Trace Port Design Guidelines How to overcome/avoid High Frequency Effects on Debug Interfaces Trace Port Design Guidelines An On-Chip Debugger/Analyzer (OCD) like isystem s ic5000 (Figure 1) acts as a link to the target hardware by

More information

KRS-V7A Programming Software for the Kenwood TM-V7A

KRS-V7A Programming Software for the Kenwood TM-V7A for the Kenwood TM-V7A Memory Types VHF Memories UHF Memories VHF Limit Memories UHF Limit Memories VFO Call Channel Memory Channel Functions Name Skip The KRS-V7A Programmer is designed to give you the

More information

Design and Implementation of Nios II-based LCD Touch Panel Application System

Design and Implementation of Nios II-based LCD Touch Panel Application System Design and Implementation of Nios II-based Touch Panel Application System Tong Zhang 1, Wen-Ping Ren 2, Yi-Dian Yin, and Song-Hai Zhang School of Information Science and Technology, Yunnan University No.2,

More information

PRELIMINARY INFORMATION. Professional Signal Generation and Monitoring Options for RIFEforLIFE Research Equipment

PRELIMINARY INFORMATION. Professional Signal Generation and Monitoring Options for RIFEforLIFE Research Equipment Integrated Component Options Professional Signal Generation and Monitoring Options for RIFEforLIFE Research Equipment PRELIMINARY INFORMATION SquareGENpro is the latest and most versatile of the frequency

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

Logic Analysis Fundamentals

Logic Analysis Fundamentals Logic Analysis Fundamentals Synchronous and asynchronous capture, combined with the right triggering, is the key to efficient digital system debug Application Note Introduction Today, a wide range of end

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide May 2011 UG44_01.1 Introduction This document provides technical information and instructions on using the LatticeECP3

More information

Experiment # 4 Counters and Logic Analyzer

Experiment # 4 Counters and Logic Analyzer EE20L - Introduction to Digital Circuits Experiment # 4. Synopsis: Experiment # 4 Counters and Logic Analyzer In this lab we will build an up-counter and a down-counter using 74LS76A - Flip Flops. The

More information

Advanced Synchronization Techniques for Data Acquisition

Advanced Synchronization Techniques for Data Acquisition Application Note 128 Advanced Synchronization Techniques for Data Acquisition Introduction Brad Turpin Many of today s instrumentation solutions require sophisticated timing of a variety of I/O functions

More information

RADview-PC/TDM. Network Management System for TDM Applications Megaplex RAD Data Communications Publication No.

RADview-PC/TDM. Network Management System for TDM Applications Megaplex RAD Data Communications Publication No. RADview-PC/TDM Network Management System for TDM Applications Megaplex-2200 1994 2001 RAD Data Communications Publication No. 351-241-12/01 Contents Megaplex-2200 Edit Configuration Operations 1. Connecting

More information

C Module Description

C Module Description IQMMX -Input Router & ASI Distribution Amplifier C Module Description The IQMMX is an ASI to 1 switch, distribution amplifier and transport stream switcher with up to 8 outputs in double width form or

More information

Lab 13: FPGA Circuit Realization Ian Callahan

Lab 13: FPGA Circuit Realization Ian Callahan Callahan 1 Lab 13: FPGA Circuit Realization Ian Callahan (ipc8@pitt.edu) Purpose The goal of this lab was to implement the circuit description from Lab 12 and implement it on a Field Programmable Gate

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package August 1997, ver. 1 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

AC : DIGITAL DESIGN MEETS DSP

AC : DIGITAL DESIGN MEETS DSP AC 2011-754: DIGITAL DESIGN MEETS DSP Christopher S Greene, University of Saint Thomas Christopher Greene received his Ph.D. in Electrical Engineering from the Massachusetts Institute of Technology (MIT)

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

DETEXI Basic Configuration

DETEXI Basic Configuration DETEXI Network Video Management System 5.5 EXPAND YOUR CONCEPTS OF SECURITY DETEXI Basic Configuration SETUP A FUNCTIONING DETEXI NVR / CLIENT It is important to know how to properly setup the DETEXI software

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information