Serial Digital Interface II Reference Design for Stratix V Devices

Size: px
Start display at page:

Download "Serial Digital Interface II Reference Design for Stratix V Devices"

Transcription

1 Serial Digital Interface II Reference Design for Stratix V Devices AN-673 Application Note This document describes the Altera Serial Digital Interface (SDI) II reference design that demonstrates how you can transmit and receive video data using the Altera SDI II MegaCore function, as well as to replace the external voltage controlled crystal oscillator (VCXO) circuits in the Stratix V GX FPGA development kit. Overview f Conventionally, a design needs an external clock or VCXO, and PLL components to generate a high quality, low jitter reference clock for the transmitter phase-locked-loop (PLL). The system described in this reference design provides a method to effectively replace these external clock components using a combination of fractional PLL (fpll) features and a soft logic based control loop. This reference design also describes how to use the serial digital interface of different variants with the Stratix V GX FPGA development kit. The reference design uses three instances of the SDI II MegaCore function in the Stratix V GX FPGA development kit. The SDI II MegaCore function consists of a standard definition (SD-SDI), high definition (HD-SDI), and a 3 gigabits per second (3G-SDI) standards. For more information about the MegaCore function and the development kit, refer to their respective documents: SDI II MegaCore Function User Guide Stratix V GX FPGA Development Board Reference Manual SDI HSMC Reference Manual 101 Innovation Drive San Jose, CA Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered December 2012 Altera Corporation Feedback Subscribe

2 Page 2 Functional Description Functional Description Figure 1. Block Diagram This reference design provides a general platform for you to control, test, and monitor different speeds of the SDI operation. Figure 1 shows the SDI II reference design block diagram. Stratix V FPGA 125 MHz Osc Osc Ch0 SDI Reclock fpll Design Example Loopback Path MHz/ MHz Ch0 SDI TR Duplex CDR HSSI SDI_IN_1 (J9) SDI_OUT_1 (J8) MHz PLL Reconfiguration Controller Transceiver Reconfiguration Controller PHY IP Core Reconfiguration Management/Router MHz Ch1 SDI TR Rx SDI_RX (J16) Osc CDR Video Pattern Generator Ch1 SDI TR Tx SDI_TX (J17) HSSI Legend Video Data Control Signal Status Signal Clock Input (125 MHz) Clock Input ( MHz) Clock Input (148.5 MHz) Clock Input (148.5/ MHz) SDI II MegaCore Function PLL ALTPLL_RECONFIG Megafunction The following sections describe the functional blocks in Figure 1. SDI II MegaCore Function This reference design contains multiple instances of triple rate SDI occupying two transceiver channels. A triple rate SDI configured in duplex mode occupies transceiver channel 0, while a pair of triple rate SDI configured in transmitter and receiver mode occupy transceiver channel 1. Serial Digital Interface II Reference Design for Stratix V Devices December 2012 Altera Corporation

3 Functional Description Page 3 SDI TR Duplex (Channel 0) The triple rate SDI provides a full-duplex SD/HD/3G-SDI interface. This channel demonstrates a receiver-to-transmitter loopback by decoding, buffering, recoding, and retransmitting the received data. A SDI reclocking soft logic includes the fpll to replace the VCXO which provides a low jitter reference clock for the transmitter PLL ( in this instance). The generated low jitter output clock ( or MHz) of the fpll is cascaded to the transceiver's PLL. Thus, the fpll approach is a simplified alternative of the transmitter clock multiplexer feature to support both 1/1.000 and 1/1.001 data rate factors without the need of two reference clock inputs. SDI TR Tx (Channel 1) The triple rate SDI provides a simplex SD/HD/3G-SDI interface. The SDI Tx in channel 1 functions as a video source and generates an output of 270 Mbps (SD), Gbps (HD), or 2.97 Gbps (3G) video stream. This channel receives input from the video pattern generator. SDI TR Rx (Channel 1) The SDI Rx in channel 1 demonstrates an SD/HD/3G-SDI receiver interface. This channel uses an external clock source of MHz as the transceiver reference clock. Video Pattern Generator The video pattern generator generates colorbar or pathological test patterns. The colorbar pattern is for image generation while the pathological pattern is to stress the PLL and cable equalizer of the attached video equipment. You can configure the video pattern generator to output various video formats at SD/HD/3G rates. Transceiver Reconfiguration Controller and Reconfiguration Management/Router These blocks reconfigure the receiver part of the SDI TR duplex and the SDI TR Rx instance in this reference design. f For more information about the transceiver reconfiguration, refer to the Transceiver Reconfiguration Controller section in the Altera Transceiver PHY IP Core User Guide. Loopback Path The loopback path contains a phase compensation FIFO buffer for handling data transmission across asynchronous clock domains (the receiver recovered clock and the transmitter clock out). The FIFO buffer connects the decoded receiver data to the transmitter input. The SDI II MegaCore function writes the receiver data to the FIFO buffer when the receiver is in the lock position. When the FIFO buffer is half full, the transmitter starts to read, encode, and transmit the data. December 2012 Altera Corporation Serial Digital Interface II Reference Design for Stratix V Devices

4 Page 4 Functional Description SDI Reclock Figure 2. SDI Reclock Block Diagram This block consists of an fpll, PLL reconfiguration controller (ALTPLL_RECONFIG Megafunction), and soft IP logic that work together with DSP resources to serve as a functional replacement for the VCXO. Figure 2 shows the SDI reclock block diagram. XO Reference Fixed MHz External to FPGA Stratix V PLL in Fractional Mode (altera_pll) Divide Ref FB PFD Charge Pump Loop Filter VCO Cascade to TX PLL MHz/ MHz or Divide Delta Sigma Modulator and ECN 1485 MHz/ MHz Direct to TX HSSI Channel reconfig_to_pll reconfig_from_pll XO Reference Fixed 125 MHz External to FPGA PLL Reconfiguration Controller (alterapll_reconfig) M Counter Fractional Value (K) DPRIO Access CSR Avalon-MM Slave Extracted H-sync from the Incoming Video Incoming Video Format Soft IP (PFD/PI Loop Filter) Ref Divide FB PFD Update Rate = H-Sync Rate SD =~ 15 khz HD =~ 33kHz 3G =~ 67 khz Loop Filter (PI Control) Initial Kp, Ki Steady- State Kp TX Clock Out MHz/ MHz A delta-sigma fpll architecture is introduced in addition to the existing integer PLL to allow integer and fraction multiplications for the output frequency. A delta-sigma modulator shifts the fractional noise to high frequencies and the PLL filters out the noise. The fpll uses divide counters and different VCXO taps to perform frequency synthesis and phase shift. The counter settings can be configured to adjust the fpll output clock in real time without reconfiguring the entire FPGA device. In an environment without a VCXO, only the M counter fractional value (K or Mfrac) needs to be configured by the PLL reconfiguration controller for the delta-sigma modulator. The soft IP logic is a phase-frequency detector (PFD) based PLL that compares the reference and feedback signals. Reference signal (ref) the horizontal sync pulse (HSYNC) signal that is extracted from the incoming video stream. Serial Digital Interface II Reference Design for Stratix V Devices December 2012 Altera Corporation

5 Functional Description Page 5 Feedback signal (FB) the divided value of the transmitter clock out, which is typically the fpll output clock. The PFD is a flip-flop that compares both the reference and feedback signals to generate up and down pulse width. The loop filter receives the pulses and counts them on fast clock to generate the phase error signal. The loop filter implements the proportional-integral (PI) control algorithm. The phase error drives the proportional and integral elements of the PI controller. The resulting signal is the Mfrac value that is used to update the fpll dynamically. 1 For a desired control response, tune the control loop to adjust the parameters such as proportional (Kp) and integral (Ki) gains to the optimum values. Stability is a basic requirement, but beyond that, different systems have different behaviors, different applications have different requirements, and the requirements may conflict with one another. These gain values are predefined and work well in this reference design. The tables below list the characterization data for the fpll cascading to the ATX or PLL in Stratix V devices using normal compensation mode. The jitter specification meets the SDI requirement in Stratix V devices. Table 1 lists the characterization data for fpll cascade in a quiet condition (SDI only). Table 1. fpll Cascade to ATX or PLL in Quiet Condition Characterization Data Rate SD-SDI HD-SDI 3G-SDI Timing (UI) Jitter Specification Alignment (UI) Slow Device (-40 C, low V CC ) ATX Timing (UI) Alignment (UI) Timing (UI) Alignment (UI) Fast Device (-40 C, low V CC ) ATX Timing (UI) Alignment (UI) Timing (UI) Alignment (UI) Fast Device (25 C, high V CC ) ATX Timing (UI) Alignment (UI) Timing (UI) Alignment (UI) December 2012 Altera Corporation Serial Digital Interface II Reference Design for Stratix V Devices

6 Page 6 Getting Started Table 2 lists the characterization data for fpll cascade in a noisy condition (SDI + adjacent HSSI channels toggling at 3 Gbps + 70% core noise). Table 2. fpll Cascade to ATX or PLL in Noisy Condition Characterization Data Rate SD-SDI HD-SDI 3G-SDI Timing (UI) SMPTE Specification Alignment (UI) Slow Device (-40 C, low V CC ) ATX Timing (UI) Alignment (UI) Timing (UI) Alignment (UI) Fast Device (-40 C, low V CC ) ATX Timing (UI) Alignment (UI) Timing (UI) Alignment (UI) Fast Device (25 C, high V CC ) ATX Timing (UI) Alignment (UI) Timing (UI) Alignment (UI) Getting Started This section discusses the following topics to help you execute the reference design: Software Requirements Hardware Requirements Compiling the Design in the Quartus II Software Setting Up the Board Configuring the FPGA Using the Quartus II Programmer Running the Design Software Requirements The reference design requires the following software and IP: Quartus II software SDIIIMegaCore function Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction Transceiver Reconfiguration Controller PHY IP Core Serial Digital Interface II Reference Design for Stratix V Devices December 2012 Altera Corporation

7 Getting Started Page 7 Hardware Requirements The reference design requires the following hardware: Stratix V GX FPGA development kit SDI High-Speed Mezzanine Card (HSMC) BNC cables Mini SMB cables Compiling the Design in the Quartus II Software To compile the design, perform the following steps: 1. Download and unzip the reference design file (s5gx_sdi_ii.zip) in your local project directory. 2. Launch the Quartus II software. 3. On the File menu, click Open Project. Select the s5_golden_top.qpf project file from the project directory and click Open. 4. On the Processing menu, click Start Compilation. Setting Up the Board To set up the board, perform the following steps: 1. Connect the SDI HSMC to the HSMC port B of the Stratix V GX FPGA development board. Refer to Figure Set the board settings DIP switch (SW5), JTAG DIP switch (SW3), and FPGA mode select DIP switch (SW4). Refer to Table 3 for the switch settings. 3. Connect the development board to the power supply. DIP Switch Settings Table 3 lists the switch settings and descriptions. Table 3. DIP Switch Settings (Part 1 of 2) Schematic Signal Switch Name Board Settings DIP Switch 1 CLK_SEL 2 CLK_ENABLE 3 FACTORY_LOAD 4 SECURITY_MODE Description ON: SMA input clock select. OFF: Programmable oscillator input clock select (default 100 MHz). ON: On-Board oscillator enabled. OFF: On-Board oscillator disabled. ON: Load user 1 design from flash at power up. OFF: Load factory design from flash at power up. ON: Do not send FACTORY command at power-up. OFF: Send FACTORY command at power-up. Default OFF ON ON ON December 2012 Altera Corporation Serial Digital Interface II Reference Design for Stratix V Devices

8 Page 8 Getting Started Table 3. DIP Switch Settings (Part 2 of 2) Switch Schematic Signal Name Description Default JTAG DIP Switch (1) 1 5M2210_JTAG_EN 2 HSMA_JTAG_EN 3 HSMB_JTAG_EN 4 PCIE_JTAG_EN FPGA Mode Select DIP Switch (2) ON: Bypass MAX V CPLD System Controller. OFF: MAX V CPLD System Controller in-chain. ON: Bypass HSMC port A. OFF: HSMC port A in-chain. ON: Bypass HSMC port B. OFF: HSMC port B in-chain. ON: Bypass PCI Express edge connector. The on-board USB-Blaster II or external USB-Blaster is the chain master. OFF: PCI Express edge connector in-chain. 1 MSEL0 Configuration setting 0 ON 2 MSEL1 Configuration setting 1 ON 3 MSEL2 Configuration setting 2 ON 4 MSEL3 Configuration setting 3 OFF 5 MSEL4 Configuration setting 4 ON 6 ON Notes to Table 3: (1) If you plug in an external USB-Blaster cable to the JTAG header (J10) on the board, the on-board USB-Blaster II is disabled. The JTAG chain is normally mastered by the on-board USB-Blaster II. (2) Set MSEL[4:0] to valid configuration schemes as listed in the Stratix V Device Handbook. OFF ON OFF ON f For more information about the switch settings, refer to the Stratix V GX FPGA Development Board User Guide. Serial Digital Interface II Reference Design for Stratix V Devices December 2012 Altera Corporation

9 Getting Started Page 9 Figure 3 shows how to connect the SDI HSMC to the Stratix V GX FPGA development board. Figure 3. Board Setup User LEDs (D7-D10, D18-D21) User DIP Switch (SW1) SDI HSMC Video Ports (Channel 0) SDI Video Ports (Channel 1) Stratix V FPGA Development Kit SDI HSMC Table 4 lists the physical ports for each SDI channel. Table 4. Physical Ports Physical Port SDI Channel Hardware Rx Tx 0 Stratix V GX FPGA development board SDI_IN_1 (J9) SDI_OUT_1 (J8) 1 SDI HSMC SDI_RX (J16) SDI_TX (J17) Table 5 lists the function of the push buttons on the development board. Table 5. Push Buttons Push Button Function CPU_RST Global reset PB2 PB1 Resets transceiver channel 1 PB0 Resets transceiver channel 0 December 2012 Altera Corporation Serial Digital Interface II Reference Design for Stratix V Devices

10 Page 10 Getting Started Table 6 lists the functions of the user-defined DIP switch (SW1) on the development board. Use this DIP switch to configure the video pattern generator. Table 6. User-Defined DIP Switch (SW1) Switch Function 0: 75% colorbars 7 1: 100% colorbars 0: Generate colorbars 6 1: Generate pathological pattern 5 LED indication. Refer to Table : SD - 525i 0001: SD - 625i 0010: HD i : HD i : HD p : HD - 720p : HD - 720p : HD p : HD p : 3Ga p : 3Ga p : 3Gb - 2x1080i : 3Gb - 2x720p : 3Gb - 2x1080p : 3Gb p : 3Gb p50 Others: Invalid Table 7 lists the function of each user-defined LED on the development board. Table 7. User-Defined LED Indication (Part 1 of 2) User LED D7 D8 D9 D10 SW1.5 = ON Indicates the heartbeat of the transmitter clock out (channel 0) Indicates that the receiver is TRS locked (channel 0) Indicates that the receiver is frame locked (channel 0) Indicates the heartbeat of the receiver recovered clock out (channel 0) Description SW1.5 = OFF Indicates the heartbeat of the transmitter clock out (channel 1) Indicates that the receiver is TRS locked (channel 1) Indicates that the receiver is frame locked (channel 1) Indicates the heartbeat of the receiver recovered clock out (channel 1) Serial Digital Interface II Reference Design for Stratix V Devices December 2012 Altera Corporation

11 Getting Started Page 11 Table 7. User-Defined LED Indication (Part 2 of 2) User LED D18, D19 D20, D21 SW1.5 = ON Transmitted signal in the SDI HSMC video port, SDI_OUT_1 (channel 0) [D19, D18]: 00: SD 01: HD 10: 3Gb 11: 3Ga Received signal in the SDI HSMC video port, SDI_IN_1 (channel 0) [D21, D20]: 00: SD 01: HD 10: 3Gb 11: 3Ga Description SW1.5 = OFF Video pattern generator signal in the development board s SDI video port, SDI_TX (channel 1) [D19, D18]: 00: SD 01: HD 10: 3Gb 11: 3Ga Received signal in the development board s SDI video port, SDI_RX (channel 1) [D21, D20]: 00: SD 01: HD 10: 3Gb 11: 3Ga Configuring the FPGA Using the Quartus II Programmer You can use the Quartus II Programmer to configure the FPGA with a specific.sof file. 1 Before configuring the FPGA, ensure that the Quartus II Programmer and the USB-Blaster II driver are installed on the host computer, power to the board is on, and no other applications that use the JTAG chain are running. To configure the FPGA on the development board, perform the following steps: 1. Connect the USB cable to the board. 2. Launch the Quartus II software. 3. On the Tools menu, click Programmer. 4. Click Auto Detect to display the devices in the JTAG chain. 5. Click Add File. Select the s5_golden_top.sof file from the project directory and click Open. 6. Turn on the Program/Configure option for the added file. 7. Click Start to download the selected file to the FPGA. Configuration is complete when the progress bar reaches 100%. 1 This design is volatile and must be reloaded each time you power on the board. December 2012 Altera Corporation Serial Digital Interface II Reference Design for Stratix V Devices

12 Page 12 Getting Started Running the Design Run the design variants in the following sections to view the example test results. Test Pattern Transmitter To run the test pattern demonstration, follows these steps: 1. Connect an SDI signal analyzer to the channel 1 transmitter output, SDI_TX (J17). 2. Check the result on the SDI signal analyzer. LEDs D18 and D19 indicate the internal video pattern generator signal, which transmits through the SDI_TX port in the transmitter. Figure 4 shows the LED indication. Figure 4. LED Indication for Test Pattern Demonstration D7 D8 D9 D10 D18 D19 D20 D21 User LEDs Stratix V GX Development Kit Receiver To run the receiver demonstration, follow these steps: 1. Connect an SDI signal generator to the channel 1 receiver input, SDI_RX (J16). The LEDs indicate the following conditions: LEDs D20 and D21 indicate the receiver signal. LED D8 illuminates when the received line format is stable at the SDI_RX port. LED D9 illuminates when the receiver frame format is stable at the SDI_RX port. Figure 5 shows the LED indication. Figure 5. LED Indication for Receiver Demonstration D7 D8 D9 D10 D18 D19 D20 D21 User LEDs Stratix V GX Development Kit Serial Digital Interface II Reference Design for Stratix V Devices December 2012 Altera Corporation

13 Getting Started Page 13 Serial Loopback To run the serial loopback demonstration, follow these steps: 1. Connect the channel 1 transmitter output, SDI_TX (J17) to the channel 1 receiver input, SDI_RX (J16). The LEDs indicate the following conditions: LEDs D18 and D19 indicate the internal video pattern generator signal, which transmits through the SDI_TX port in the transmitter. LEDs D20 and D21 indicate the receiver signal standard. LED D8 illuminates when the received line format is stable at the SDI_RX port. LED D9 illuminates when the receiver frame format is stable at the SDI_RX port. Figure 6 shows the LEDs indication. Figure 6. LED Indication for Serial Loopback Demonstration D7 D8 D9 D10 D18 D19 D20 D21 User LEDs Stratix V GX Development Kit (SW1.5 = OFF) Parallel Loopback To run the parallel loopback demonstration, perform the following steps: 1. Connect an SDI signal generator to the channel 0 receiver input, SDI_IN_1 (J9). 2. Connect an SDI signal analyzer to the channel 0 transmitter output of SDI_OUT_1 (J8). The LEDs indicate the following conditions: LEDs D20 and D21 indicate the receiver signal. LED D8 illuminates when the received line format is stable at the SDI_IN_1 port. LED D9 illuminates when the receiver frame format is stable at the SDI_IN_1 port. December 2012 Altera Corporation Serial Digital Interface II Reference Design for Stratix V Devices

14 Page 14 Document Revision History Figure 7 shows the LEDs indication. Figure 7. LED Indication for Parallel Loopback Demonstration D7 D8 D9 D10 D18 D19 D20 D21 User LEDs Stratix V GX Development Kit (SW1.5 = ON) Document Revision History Table 8 lists the revision history for this document. Table 8. Document Revision History Date Version Changes December Initial release. Serial Digital Interface II Reference Design for Stratix V Devices December 2012 Altera Corporation

Implementing Audio IP in SDI II on Arria V Development Board

Implementing Audio IP in SDI II on Arria V Development Board Implementing Audio IP in SDI II on Arria V Development Board AN-697 Subscribe This document describes a reference design that uses the Audio Embed, Audio Extract, Clocked Audio Input and Clocked Audio

More information

Serial Digital Interface Reference Design for Stratix IV Devices

Serial Digital Interface Reference Design for Stratix IV Devices Serial Digital Interface Reference Design for Stratix IV Devices AN-600-1.2 Application Note The Serial Digital Interface (SDI) reference design shows how you can transmit and receive video data using

More information

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on

More information

Serial Digital Interface Demonstration for Stratix II GX Devices

Serial Digital Interface Demonstration for Stratix II GX Devices Serial Digital Interace Demonstration or Stratix II GX Devices May 2007, version 3.3 Application Note 339 Introduction The serial digital interace (SDI) demonstration or the Stratix II GX video development

More information

SDI II MegaCore Function User Guide

SDI II MegaCore Function User Guide SDI II MegaCore Function SDI II MegaCore Function 1 Innovation Drive San Jose, CA 95134 www.altera.com UG-01125-1.0 Document last updated for Altera Complete Design Suite version: Document publication

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents SDI Audio IP Cores Overview...1-1

More information

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs Introduction White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs In broadcasting production and delivery systems, digital video data is transported using one of two serial

More information

Intel FPGA SDI II IP Core User Guide

Intel FPGA SDI II IP Core User Guide Intel FPGA SDI II IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA SDI II IP Core Quick

More information

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report 2015.12.18 Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report AN-749 Subscribe The Altera JESD204B IP core is a high-speed point-to-point serial interface intellectual property (IP). The JESD204B

More information

SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer

SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer User Guide: SDALTEVK HSMC SDI ADAPTER BOARD 9-Jul-09 Version 0.06 SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer Page 1 of 31 1...Overview 3 2...Evaluation

More information

Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report 2015.11.02 Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report AN-753 Subscribe The Altera JESD204B IP Core is a high-speed point-to-point serial interface intellectual property (IP). The JESD204B

More information

SDI II IP Core User Guide

SDI II IP Core User Guide SDI II IP Core User Guide Subscribe Last updated for Quartus Prime Design Suite: 15.1 UG-01125 15.11.02 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents SDI II IP Core Quick Reference...

More information

Intel Arria 10 SDI II IP Core Design Example User Guide

Intel Arria 10 SDI II IP Core Design Example User Guide Intel Arria 10 SDI II IP Core Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 SDI II Design

More information

The ASI demonstration uses the Altera ASI MegaCore function and the Cyclone video demonstration board.

The ASI demonstration uses the Altera ASI MegaCore function and the Cyclone video demonstration board. April 2006, version 2.0 Application Note Introduction A digital video broadcast asynchronous serial interace (DVB-) is a serial data transmission protocol that transports MPEG-2 packets over copper-based

More information

Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report 2015.06.25 Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report AN-JESD204B-AV Subscribe The Altera JESD204B IP core is a high-speed point-to-point serial interface intellectual property (IP).

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Last updated for Altera Complete Design Suite: 14.0 Subscribe UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 SDI Audio IP Cores User Guide Contents

More information

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family December 2011 CIII51002-2.3 2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family CIII51002-2.3 This chapter contains feature definitions for logic elements (LEs) and logic array blocks

More information

AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices

AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA JESD204B

More information

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family December 2011 CIII51014-2.3 12. IEEE 1149.1 (JTAG) Boundary-Scan Testing for the Cyclone III Device Family CIII51014-2.3 This chapter provides guidelines on using the IEEE Std. 1149.1 boundary-scan test

More information

11. JTAG Boundary-Scan Testing in Stratix V Devices

11. JTAG Boundary-Scan Testing in Stratix V Devices ecember 2 SV52-.4. JTAG Boundary-Scan Testing in Stratix V evices SV52-.4 This chapter describes the boundary-scan test (BST) features that are supported in Stratix V devices. Stratix V devices support

More information

AN 696: Using the JESD204B MegaCore Function in Arria V Devices

AN 696: Using the JESD204B MegaCore Function in Arria V Devices AN 696: Using the JESD204B MegaCore Function in Arria V Devices Subscribe The JESD204B standard provides a serial data link interface between converters and FPGAs. The JESD204B MegaCore function intellectual

More information

LMH0340/LMH0341 SerDes EVK User Guide

LMH0340/LMH0341 SerDes EVK User Guide LMH0340/LMH0341 SerDes EVK User Guide July 1, 2008 Version 1.05 1 1... Overview 3 2... Evaluation Kit (SD3GXLEVK) Contents 3 3... Hardware Setup 4 3.1 ALP100 BOARD (MAIN BOARD) DESCRIPTION 5 3.2 SD340EVK

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite August 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

AN 776: Intel Arria 10 UHD Video Reference Design

AN 776: Intel Arria 10 UHD Video Reference Design AN 776: Intel Arria 10 UHD Video Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Arria 10 UHD Video Reference Design... 3 1.1 Intel Arria 10 UHD

More information

Altera's 28-nm FPGAs Optimized for Broadcast Video Applications

Altera's 28-nm FPGAs Optimized for Broadcast Video Applications Altera's 28-nm FPGAs Optimized for Broadcast Video Applications WP-01163-1.0 White Paper This paper describes how Altera s 40-nm and 28-nm FPGAs are tailored to help deliver highly-integrated, HD studio

More information

Upgrading a FIR Compiler v3.1.x Design to v3.2.x

Upgrading a FIR Compiler v3.1.x Design to v3.2.x Upgrading a FIR Compiler v3.1.x Design to v3.2.x May 2005, ver. 1.0 Application Note 387 Introduction This application note is intended for designers who have an FPGA design that uses the Altera FIR Compiler

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Synchronization Issues During Encoder / Decoder Tests

Synchronization Issues During Encoder / Decoder Tests OmniTek PQA Application Note: Synchronization Issues During Encoder / Decoder Tests Revision 1.0 www.omnitek.tv OmniTek Advanced Measurement Technology 1 INTRODUCTION The OmniTek PQA system is very well

More information

SDI MegaCore Function User Guide

SDI MegaCore Function User Guide SDI MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights reserved. Altera,

More information

SingMai Electronics SM06. Advanced Composite Video Interface: HD-SDI to acvi converter module. User Manual. Revision 0.

SingMai Electronics SM06. Advanced Composite Video Interface: HD-SDI to acvi converter module. User Manual. Revision 0. SM06 Advanced Composite Video Interface: HD-SDI to acvi converter module User Manual Revision 0.4 1 st May 2017 Page 1 of 26 Revision History Date Revisions Version 17-07-2016 First Draft. 0.1 28-08-2016

More information

SERIAL DIGITAL VIDEO FIBER OPTIC TRANSPORT & DISTRIBUTION MODULAR SYSTEM FOR HDTV & SDTV

SERIAL DIGITAL VIDEO FIBER OPTIC TRANSPORT & DISTRIBUTION MODULAR SYSTEM FOR HDTV & SDTV INSTRUCTION MANUAL HD-4000 Series OPENGEAR SERIAL DIGITAL VIDEO FIBER OPTIC TRANSPORT & DISTRIBUTION MODULAR SYSTEM FOR HDTV & SDTV MultiDyne Video at Light Speed 191 FOREST AVENUE LOCUST VALLEY, NY 11560-2132

More information

SingMai Electronics SM06. Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module. User Manual. Revision th December 2016

SingMai Electronics SM06. Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module. User Manual. Revision th December 2016 SM06 Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module User Manual Revision 0.3 30 th December 2016 Page 1 of 23 Revision History Date Revisions Version 17-07-2016 First Draft. 0.1

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide for the LatticeECP3 Serial Protocol Board User s Guide March 2011 UG24_01.4 Introduction This document provides technical information and instructions on using the LatticeECP3 SERDES Eye/Backplane Demo

More information

8. Stratix GX Built-In Self Test (BIST)

8. Stratix GX Built-In Self Test (BIST) 8. Stratix GX Built-In Self Test (BIST) SGX52008-1.1 Introduction Each Stratix GX channel in the gigabit transceiver block contains embedded built-in self test (BIST) circuitry, which is available for

More information

JESD204B IP Hardware Checkout Report with AD9250. Revision 0.5

JESD204B IP Hardware Checkout Report with AD9250. Revision 0.5 JESD204B IP Hardware Checkout Report with AD9250 Revision 0.5 November 13, 2013 Table of Contents Revision History... 2 References... 2 1 Introduction... 3 2 Scope... 3 3 Result Key... 3 4 Hardware Setup...

More information

GALILEO Timing Receiver

GALILEO Timing Receiver GALILEO Timing Receiver The Space Technology GALILEO Timing Receiver is a triple carrier single channel high tracking performances Navigation receiver, specialized for Time and Frequency transfer application.

More information

SignalTap Analysis in the Quartus II Software Version 2.0

SignalTap Analysis in the Quartus II Software Version 2.0 SignalTap Analysis in the Quartus II Software Version 2.0 September 2002, ver. 2.1 Application Note 175 Introduction As design complexity for programmable logic devices (PLDs) increases, traditional methods

More information

SG4424 HDTV Slave Sync Generator User Guide

SG4424 HDTV Slave Sync Generator User Guide SG4424 HDTV Slave Sync Generator User Guide INTRODUCTION The SG4424LP HDTV Slave Sync Generator locks to either an NTSC or PAL reference signal and generates HD tri-level sync per SMPTE 274M (1080i/p)

More information

SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer

SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer User Guide: SDALTEVK HSMC SDI ADAPTER BOARD 9-Jul-09 Version 0.06 SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer Page 1 of 31 1...Overview 3 2...Evaluation

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Optical Link Evaluation Board for the CSC Muon Trigger at CMS

Optical Link Evaluation Board for the CSC Muon Trigger at CMS Optical Link Evaluation Board for the CSC Muon Trigger at CMS 04/04/2001 User s Manual Rice University, Houston, TX 77005 USA Abstract The main goal of the design was to evaluate a data link based on Texas

More information

Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow

Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow Application Note: Artix-7 Family XAPP1097 (v1.0.1) November 10, 2015 Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow Summary The Society of Motion Picture and Television

More information

Video and Image Processing Suite User Guide

Video and Image Processing Suite User Guide Video and Image Processing Suite User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Video and Image Processing

More information

C8000. sync interface. External sync auto format sensing : AES, Word Clock, Video Reference

C8000. sync interface. External sync auto format sensing : AES, Word Clock, Video Reference features Standard sync module for a frame Internal sync @ 44.1 / 48 / 88.2 / 96kHz External sync auto format sensing : AES, Word Clock, Video Reference Video Reference : Black Burst (NTSC or PAL) Composite

More information

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input 9 - Metastability and Clock Recovery Asynchronous inputs We will consider a number of issues related to asynchronous inputs, multiple clock domains, clock synchronisation and clock distribution. Useful

More information

VIODC SDI Demonstration

VIODC SDI Demonstration VIODC SDI Demonstration User Guide R R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface with

More information

Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems

Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems Abstract: Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems Atul Krishna Gupta, Aapool Biman and Dino Toffolon Gennum Corporation This paper describes a system level

More information

F M1SDI 1 Ch Tx & Rx. HD SDI Fiber Optic Link with RS 485. User Manual

F M1SDI 1 Ch Tx & Rx. HD SDI Fiber Optic Link with RS 485. User Manual User Manual F M1SDI 1 Ch Tx & Rx HD SDI Fiber Optic Link with RS 485 User Manual 1Introduction 1.1Overview 1.2Features 1.3Application 2 Panel 2.1 Front Panel 2.2 Rear Panel 3Technical Specification Contents

More information

AN1035: Timing Solutions for 12G-SDI

AN1035: Timing Solutions for 12G-SDI Digital Video technology is ever-evolving to provide higher quality, higher resolution video imagery for richer and more immersive viewing experiences. Ultra-HD/4K digital video systems have now become

More information

3GSDI to HDMI 1.3 Converter

3GSDI to HDMI 1.3 Converter 3GSDI to HDMI 1.3 Converter EXT-3GSDI-2-HDMI1.3 User Manual www.gefen.com ASKING FOR ASSISTANCE Technical Support: Telephone (818) 772-9100 (800) 545-6900 Fax (818) 772-9120 Technical Support Hours: 8:00

More information

Model 7600 HD/SD Embedder/ Disembedder Data Pack

Model 7600 HD/SD Embedder/ Disembedder Data Pack Model 7600 HD/SD Embedder/ Disembedder Data Pack E NSEMBLE D E S I G N S Revision 2.1 SW v2.0.1 This data pack provides detailed installation, configuration and operation information for the 7600 HD/SD

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide May 2011 UG44_01.1 Introduction This document provides technical information and instructions on using the LatticeECP3

More information

C8000. switch over & ducking

C8000. switch over & ducking features Automatic or manual Switch Over or Fail Over in case of input level loss. Ducking of a main stereo or surround sound signal by a line level microphone or by a pre recorded announcement / ad input.

More information

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features 6.25 Gbps multi-rate, multi-lane, SerDes macro IP Data brief Txdata1_in Tx1_clk Bist1 Rxdata1_out Rx1_clk Txdata2_in Tx2_clk Bist2 Rxdata2_out Rx2_clk Txdata3_in Tx3_clk Bist3 Rxdata3_out Rx3_clk Txdata4_in

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

Bitec. HSMC Quad Video Mosaic Reference Design. DSP Solutions for Industry & Research. Version 0.1

Bitec. HSMC Quad Video Mosaic Reference Design. DSP Solutions for Industry & Research. Version 0.1 Bitec DSP Solutions for Industry & Research HSMC Quad Video Mosaic Reference Design Version 0.1 Page 2 Revision history... 3 Introduction... 4 Installation... 5 Building the demo software... 6 Page 3 Revision

More information

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 DS849 June 22, 2011 Introduction The LogiCORE IP Spartan -6 FPGA Triple-Rate SDI interface solution provides receiver and transmitter interfaces for the

More information

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0. Entry Level Tool II Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 1.0.3 Date : October 7, 2005 Copyright 2005-2006,, Inc. (SLS) All

More information

White Paper Versatile Digital QAM Modulator

White Paper Versatile Digital QAM Modulator White Paper Versatile Digital QAM Modulator Introduction With the advancement of digital entertainment and broadband technology, there are various ways to send digital information to end users such as

More information

Bitec. HSMC DVI 1080P Colour-Space Conversion Reference Design. DSP Solutions for Industry & Research. Version 0.1

Bitec. HSMC DVI 1080P Colour-Space Conversion Reference Design. DSP Solutions for Industry & Research. Version 0.1 Bitec DSP Solutions for Industry & Research HSMC DVI 1080P Colour-Space Conversion Reference Design Version 0.1 Page 2 Revision history... 3 Introduction... 4 Installation... 5 Page 3 Revision history

More information

SMPTE 259M EG-1 Color Bar Generation, RP 178 Pathological Generation, Grey Pattern Generation IP Core AN4087

SMPTE 259M EG-1 Color Bar Generation, RP 178 Pathological Generation, Grey Pattern Generation IP Core AN4087 SMPTE 259M EG-1 Color Bar Generation, RP 178 Pathological Generation, Grey Pattern Generation IP Core AN4087 Associated Project: No Associated Part Family: HOTLink II Video PHYs Associated Application

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

PAM4 signals for 400 Gbps: acquisition for measurement and signal processing

PAM4 signals for 400 Gbps: acquisition for measurement and signal processing TITLE PAM4 signals for 400 Gbps: acquisition for measurement and signal processing Image V1.00 1 Introduction, content High speed serial data links are in the process in increasing line speeds from 25

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT949 Document Issue Number 1.1 Issue Data: 27th April 2012

More information

Dual Link DVI Receiver Implementation

Dual Link DVI Receiver Implementation Dual Link DVI Receiver Implementation This application note describes some features of single link receivers that must be considered when using 2 devices for a dual link application. Specific characteristics

More information

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files DE2-115/FGPA README For questions email: jeff.nicholls.63@gmail.com (do not hesitate!) This document serves the purpose of providing additional information to anyone interested in operating the DE2-115

More information

IP LIVE PRODUCTION UNIT NXL-IP55

IP LIVE PRODUCTION UNIT NXL-IP55 IP LIVE PRODUCTION UNIT NXL-IP55 OPERATION MANUAL 1st Edition (Revised 2) [English] Table of Contents Overview...3 Features... 3 Transmittable Signals... 3 Supported Networks... 3 System Configuration

More information

FPGA TechNote: Asynchronous signals and Metastability

FPGA TechNote: Asynchronous signals and Metastability FPGA TechNote: Asynchronous signals and Metastability This Doulos FPGA TechNote gives a brief overview of metastability as it applies to the design of FPGAs. The first section introduces metastability

More information

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs features 4 balanced AES inputs Input Sample Rate Converters (SRC) 4 balanced AES outputs Relay bypass for pairs of I/Os Relay wait time after power up Master mode (clock master for the frame) 25pin Sub-D,

More information

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6 18.6 Data Recovery and Retiming for the Fully Buffered DIMM 4.8Gb/s Serial Links Hamid Partovi 1, Wolfgang Walthes 2, Luca Ravezzi 1, Paul Lindt 2, Sivaraman Chokkalingam 1, Karthik Gopalakrishnan 1, Andreas

More information

Noise Detector ND-1 Operating Manual

Noise Detector ND-1 Operating Manual Noise Detector ND-1 Operating Manual SPECTRADYNAMICS, INC 1849 Cherry St. Unit 2 Louisville, CO 80027 Phone: (303) 665-1852 Fax: (303) 604-6088 Table of Contents ND-1 Description...... 3 Safety and Preparation

More information

JESD204B IP Core User Guide

JESD204B IP Core User Guide JESD204B IP Core User Guide Last updated for Altera Complete Design Suite: 14.1 Subscribe UG-01142 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 JESD204B IP Core User Guide Contents JESD204B

More information

SMPTE 292M EG-1 Color Bar Generation, RP 198 Pathological Generation, Grey Pattern Generation IP Core - AN4088

SMPTE 292M EG-1 Color Bar Generation, RP 198 Pathological Generation, Grey Pattern Generation IP Core - AN4088 SMPTE 292M EG-1 Color Bar Generation, RP 198 Pathological Generation, Grey Pattern Generation IP Core - AN4088 January 18, 2005 Document No. 001-14938 Rev. ** - 1 - 1.0 Introduction...3 2.0 Functional

More information

Product Catalog. Route - Transport - Extend - Convert - Scale. Multimedia Products for HDMI and DVI. 3G sdi OCT-2010-C

Product Catalog. Route - Transport - Extend - Convert - Scale. Multimedia Products for HDMI and DVI. 3G sdi OCT-2010-C Product Catalog Route - Transport - Extend - Convert - Scale Multimedia Products for HDMI and DVI 3G sdi OCT-2010-C Quick Reference Guide RS-232 INPUT 2 INPUT 4 OUTPUT 2 OUTPUT 4 OUTPUT 6 OUTPUT 8 INPUT

More information

An FPGA Based Solution for Testing Legacy Video Displays

An FPGA Based Solution for Testing Legacy Video Displays An FPGA Based Solution for Testing Legacy Video Displays Dale Johnson Geotest Marvin Test Systems Abstract The need to support discrete transistor-based electronics, TTL, CMOS and other technologies developed

More information

LMH0344 3Gbps HD/SD SDI Adaptive Cable Equalizer

LMH0344 3Gbps HD/SD SDI Adaptive Cable Equalizer 3Gbps HD/SD SDI Adaptive Cable Equalizer General Description The 3Gbps HD/SD SDI Adaptive Cable Equalizer is designed to equalize data transmitted over cable (or any media with similar dispersive loss

More information

AMD-53-C TWIN MODULATOR / MULTIPLEXER AMD-53-C DVB-C MODULATOR / MULTIPLEXER INSTRUCTION MANUAL

AMD-53-C TWIN MODULATOR / MULTIPLEXER AMD-53-C DVB-C MODULATOR / MULTIPLEXER INSTRUCTION MANUAL AMD-53-C DVB-C MODULATOR / MULTIPLEXER INSTRUCTION MANUAL HEADEND SYSTEM H.264 TRANSCODING_DVB-S2/CABLE/_TROPHY HEADEND is the most convient and versatile for digital multichannel satellite&cable solution.

More information

TECHNICAL MANUAL INTEGRITY 600 SERIES MDX644 HD/SD, AES/DOLBY E AUDIO DE-EMBEDDER/EMBEDDER

TECHNICAL MANUAL INTEGRITY 600 SERIES MDX644 HD/SD, AES/DOLBY E AUDIO DE-EMBEDDER/EMBEDDER TECHNICAL MANUAL INTEGRITY 600 SERIES MDX644 HD/SD, AES/DOLBY E AUDIO DE-EMBEDDER/EMBEDDER Publication: 81-9059-0633-0, Rev. A July, 2008 Thank You!! for purchasing your new A/V Processing Equipment from

More information

Model 5240 Digital to Analog Key Converter Data Pack

Model 5240 Digital to Analog Key Converter Data Pack Model 5240 Digital to Analog Key Converter Data Pack E NSEMBLE D E S I G N S Revision 2.1 SW v2.0 This data pack provides detailed installation, configuration and operation information for the 5240 Digital

More information

Using SignalTap II in the Quartus II Software

Using SignalTap II in the Quartus II Software White Paper Using SignalTap II in the Quartus II Software Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.1, helps reduce verification

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT909 Document Issue Number 1.1 Issue Data: 25th Augest

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

Dual Link DVI Receiver Implementation

Dual Link DVI Receiver Implementation Dual Link DVI Receiver Implementation This application note describes some features of single link receivers that must be considered when using 2 devices for a dual link application. Specific characteristics

More information

Acasual observer would note that there are many different broadcast. SIGNAL PATH designer

Acasual observer would note that there are many different broadcast. SIGNAL PATH designer SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 106 Feature Article...1-7 High Performance Video Solutions...2 HD-SDI Signal Path Solutions...4-5 Design Tools...8

More information

JNEye User Guide. 101 Innovation Drive San Jose, CA UG Subscribe Send Feedback

JNEye User Guide. 101 Innovation Drive San Jose, CA UG Subscribe Send Feedback JNEye User Guide Subscribe UG-1146 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 JNEye User Guide Contents System Requirements and Installation Guide... 1-1 System Requirements... 1-1 Installation...

More information

Arria-V FPGA interface to DAC/ADC Demo

Arria-V FPGA interface to DAC/ADC Demo Arria-V FPGA interface to DAC/ADC Demo 1. Scope Demonstrate Arria-V FPGA on dev.kit communicates to TI High-Speed DAC and ADC Demonstrate signal path from DAC to ADC is operating as part of the signal

More information

V pro8 QUICK START GUIDE

V pro8 QUICK START GUIDE QUICK START GUIDE Welcome to your V pro8 FIRST STEPS POWERING ON CONNECTING YOUR COMPUTER Thank you for buying the Lawo V pro8, a true high-quality product developed and manufactured in Rastatt, Germany.

More information

INSTRUCTION MANUAL VF MultiDyne. Harnessing The Power of Light

INSTRUCTION MANUAL VF MultiDyne. Harnessing The Power of Light INSTRUCTION MANUAL VF-9000 SERIAL DIGITAL FIBER OPTIC TRANSPORT and DISTRIBUTION SYSTEM FOR SMPTE 259, 292, 424, DVB-ASI, with gigabit ethernet with SNMP & Web page monitoring and other protocols MultiDyne

More information

C Module Description

C Module Description IQMMX -Input Router & ASI Distribution Amplifier C Module Description The IQMMX is an ASI to 1 switch, distribution amplifier and transport stream switcher with up to 8 outputs in double width form or

More information

3G Multi-Rate Digital Video Optical Transmitter/Receiver/ Transceiver/Repeater. Installation and Operations. Manual

3G Multi-Rate Digital Video Optical Transmitter/Receiver/ Transceiver/Repeater. Installation and Operations. Manual Manual DigiLink DLC103A Function module 3G Multi-Rate Digital Video Optical Transmitter/Receiver/ Transceiver/Repeater Installation and Operations Manual WWW.ARTEL.COM ii DLC103A Function Module Installation

More information

User Instruction Manual IQSDA30/IQSDA32. Intelligent Reclocking High Performance HD-SDI/SD-SDI Distribution Amplifiers. snellgroup.

User Instruction Manual IQSDA30/IQSDA32. Intelligent Reclocking High Performance HD-SDI/SD-SDI Distribution Amplifiers. snellgroup. User Instruction Manual IQSDA30/IQSDA32 Intelligent Reclocking High Performance HD-SDI/SD-SDI Distribution Amplifiers snellgroup.com IQSDA30/IQSDA32 www.snellgroup.com Information and Notices Information

More information

Six-Channel TDM Multiplexers for 3G, HD, SDI, and ASI. Installation and Operations. Manual

Six-Channel TDM Multiplexers for 3G, HD, SDI, and ASI. Installation and Operations. Manual Manual DigiLink DLC156 Function modules Six-Channel TDM Multiplexers for 3G, HD, SDI, and ASI Installation and Operations Manual WWW.ARTEL.COM ii DLC156 Function Modules Installation and Operations Manual

More information

F M2SDI 2 Ch Tx & Rx. HD SDI Fiber Optic Link with RS 485 & Aux. User Manual

F M2SDI 2 Ch Tx & Rx. HD SDI Fiber Optic Link with RS 485 & Aux. User Manual User Manual F M2SDI 2 Ch Tx & Rx HD SDI Fiber Optic Link with RS 485 & Aux User Manual CHAPTER 1. SYSTEM INTRODUCTION 1.1 OVERVIEW 1.2 FEATURE 1.3 APPLICATION CHAPTER 2. F M2SDI ENCLOSURES 2.1 FRONT PANEL

More information

Configuring FLASHlogic Devices

Configuring FLASHlogic Devices Configuring FLASHlogic s April 995, ver. Application Note 45 Introduction The Altera FLASHlogic family of programmable logic devices (PLDs) is based on CMOS technology with SRAM configuration elements.

More information

IP LIVE PRODUCTION UNIT NXL-IP55 USO RESTRITO. OPERATION MANUAL 1st Edition (Revised 2) [English]

IP LIVE PRODUCTION UNIT NXL-IP55 USO RESTRITO. OPERATION MANUAL 1st Edition (Revised 2) [English] IP LIVE PRODUCTIO UIT XL-IP55 USO RESTRITO OPERATIO MAUAL 1st Edition (Revised 2) [English] Table of Contents Overview... 3 Features... 3 Transmittable Signals... 3 Supported etworks... 3 System Configuration

More information

EXOSTIV TM. Frédéric Leens, CEO

EXOSTIV TM. Frédéric Leens, CEO EXOSTIV TM Frédéric Leens, CEO A simple case: a video processing platform Headers & controls per frame : 1.024 bits 2.048 pixels 1.024 lines Pixels per frame: 2 21 Pixel encoding : 36 bit Frame rate: 24

More information

C8491 C8000 1/17. digital audio modular processing system. 3G/HD/SD-SDI DSP 4/8/16 audio channels. features. block diagram

C8491 C8000 1/17. digital audio modular processing system. 3G/HD/SD-SDI DSP 4/8/16 audio channels. features. block diagram features 4 / 8 / 16 channel LevelMagic2 SDI-DSP with level or loudness (ITU-BS.1770-1/ ITU-BS.1770-2, EBU R128) control 16 channel 3G/HD/SD-SDI de-embedder 16 in 16 de-embedder matrix 16 channel 3G/HD/SD-SDI

More information

9. Synopsys PrimeTime Support

9. Synopsys PrimeTime Support 9. Synopsys PrimeTime Support December 2010 QII53005-10.0.1 QII53005-10.0.1 PrimeTime is the Synopsys stand-alone full chip, gate-level static timing analyzer. The Quartus II software makes it easy for

More information

SPG8000A Master Sync / Clock Reference Generator Release Notes

SPG8000A Master Sync / Clock Reference Generator Release Notes xx ZZZ SPG8000A Master Sync / Clock Reference Generator Release Notes This document supports firmware version 2.5. www.tek.com *P077122204* 077-1222-04 Copyright Tektronix. All rights reserved. Licensed

More information