A Parallel Multilevel-Huffman Decompression Scheme for IP Cores with Multiple Scan Chains

Size: px
Start display at page:

Download "A Parallel Multilevel-Huffman Decompression Scheme for IP Cores with Multiple Scan Chains"

Transcription

1 A Parallel Mltilevel-Hffman Decompression Scheme for IP Cores with Mltiple Scan Chains X Kavosianos, E Kalligeros 2 and D Nikolos 2 Compter Science Dept, University of Ioannina, 45 Ioannina, Greece 2 Compter Engineering & Informatics Dept, University of Patras, 265 Patras, Greece Abstract Varios efficient compression methods have been proposed for tackling the problem of increased test-data volme of contemporary, core-based Systems-on-Chip (SoCs) However, many of them cannot exploit the test-application-time advantage that cores with mltiple scan chains offer, since they are not able to perform parallel decompression of the encoded data For eliminating this problem, we present a new, low-overhead decompression scheme that can generate clsters of test bits in parallel The test data are encoded sing a recently proposed and very effective compression method called mltilevel Hffman Ths, apart from the significantly redced test-application times, the proposed approach offers high compression ratios, as well as increased probability of detection of nmodeled falts, since the majority of the nspecified bits of the test sets are replaced by psedorandom data The time/space advantages of the proposed approach are validated by thorogh experiments Introdction In order to meet tight time-to-market constraints, contemporary systems embed pre-designed and pre-verified modles called IP (Intellectal Property) cores The strctre of IP cores is often hidden from the system integrator and as a reslt, neither falt simlation nor test pattern generation can be performed for them IP cores are delivered along with a pre-compted test set and if they are not BIST-ready, proper test strctres shold be incorporated in the system Varios methods have been proposed to cope with testing of IP cores Some of them embed the pre-compted test vectors in longer psedorandom seqences generated on chip [], [], [2] The major drawback of sch methods is their long test application time Ths, many techniqes, sing varios compression codes, have been proposed for direct test-data encoding Golomb codes were proposed in [2], [3], [7], alternating rn length codes in [4], FDR codes in [5], [4], statistical codes in [6], [8], [], a nine-coded techniqe in [9], and combinations of codes in [5], [8] An additional advantage of these approaches is that they can operate on flly compacted test sets ths allowing frther test-time redctions Some methods se dictionaries bt impose high hardware overhead de to the large embedded RAMs reqired Usally, test sets, even if they are dynamically and/or statically compacted, inclde large nmbers of 'x' vales Traditionally, ATPG tools fill these 'x' vales randomly with logic or, so as to increase the fortitos detection of nmodeled falts On the contrary, compression methods, in order to achieve high compression ratios, replace these 'x' vales with the logic vales and/or, depending on the characteristics of the implemented code Therefore, compression methods may adversely affect the coverage of nmodeled falts The athors of [9] sggest that, if possible, at least a portion of a test set's 'x' vales shold be set randomly This is why in [] and [2] LFSRs are sed for generating whole clsters of test data Another common problem of many compression methods is their inability of exploiting the test-application-time advantages that a core with mltiple scan chains offers In other words, if parallel decompression is not possible, a serial-in parallel-ot register mst be sed for spreading the decoded data in the scan chains and as a reslt, no test-time savings, de to the mltiple scan chains, are possible The soltion of sing more than one decoder is too expensive and ths inapplicable For that reason, in this paper we propose a test-data compression scheme that can generate whole clsters of decoded data in parallel It will be shown that the proposed scheme manages to exploit most of the offered parallelism with low hardware overhead (comparable to that of single-scan-chain schemes) The test-data are compressed sing the recently proposed and very effective mltilevel Hffman encoding method of [] Ths, the proposed approach offers high compression ratios as well as increased probability of detection of nmodeled falts, since most of the test sets' 'x' bits are replaced by psedorandom data The paper is organized as follows: since it is not straightforward, in Section 2 we explain how the mltilevel Hffman approach can be applied to mltiple-scan-chain cores The reqired strctres are also introdced In Section 3 the proposed decompressor is discssed in detail, while in Section 4 the proposed techniqe is evalated with experimental reslts and comparisons Section 5 concldes the paper 2 Compression Method Consider a core with N sc balanced scan chains of W sc scan cells each, as shown in Figre : clsters block block block slice W sc N sc slice W sc - Figre Scan chains, clsters and blocks Each test cbe (test vector with 'x' vales) is partitioned into W sc consective slices of N sc bits, according to the scan-

2 chain strctre of the core In other words, a test slice consists of the test bits contained in the scan cells of a vertical cross-section of the scan chains W sc scan cycles are reqired for loading the scan chains In case of a not perfectly balanced scan strctre (scan chains are not eqally sized), the short test slices are padded with 'x' vales Each test slice is partitioned into clsters of size If N sc is not divided exactly by, then the last clster of all slices is shorter than the others In other words each test cbe is partitioned into W sc N sc / test clsters The proposed encoding scheme is based on psedorandom bit generation and mltilevel Hffman coding According to the mltilevel Hffman approach, the same Hffman code is sed for encoding different sets of information (three in or case, as it will be explained later) As psedorandom generator we se a small LFSR and a phase shifter, which can prodce psedorandom clsters of size The phase shifter is initially designed as proposed in [6] However, since we want to be able to choose among different seqences of psedorandom clsters for the same time period, we add an extra inpt to each XOR tree [9] This extra inpt is driven, throgh a mltiplexer, by varios cells of the LFSR For every different cell, a different seqence of psedorandom clsters is generated at the otpts of the phase shifter The psedorandom generator is shown in Figre 2 Cell Selection Address Phase Shifter XOR tree mx LFSR XOR tree 2 Figre 2 Psedorandom Generator At first, the LFSR is set to a random initial state and is let evolve for a nmber of cycles eqal to the total nmber of the test clsters Then all the test clsters are compared against the corresponding psedorandom clsters of the clster seqences generated when each LFSR-cell's otpt is fed to the phase shifter's extra inpt If a test clster is compatible with a psedorandom clster belonging in the seqence of cell i, a hit for cell i occrs A designer-defined nmber of LFSR cells with the largest hit ratios are selected in order to feed the extra inpt of the phase shifter The mltiplexer selection address of each chosen cell is encoded sing Hffman coding, ie each Hffman codeword is sed for enabling an LFSR cell to drive the extra inpt of the phase shifter We call this type of encoding Cell encoding Since many test clsters have a large nmber of 'x' vales, they are compatible with psedorandom clsters generated when different LFSR cells feed the phase shifter's extra inpt The proposed method associates each clster with the cell that skews the cell-occrrence probabilities the most Test clsters that are not compatible with any psedorandom clsters are labeled as failed and a single Hffman codeword is sed for distingishing them from the rest We note that both the normal and inverted otpts of the LFSR cells are considered dring the aforementioned cell-selection procedre XOR tree If consective test clsters can be generated by sing the same LFSR cell, we encode them with only one codeword, which scceeds the Cell-encoding codeword and indicates the nmber of consective clsters (clster-grop length) that will be generated In order to keep the cost low, the available lengths are chosen from a predetermined list of distinct lengths (grop-length qantization) These distinct lengths are eqal to the powers of 2 in the interval [, max_length), where max_length is the maximm nmber of consective test clsters which are compatible with psedorandom ones We call this type of Hffman encoding, Length encoding A clster grop with a length not inclded in the list, is partitioned into smaller grops of proper length A Cell-encoding codeword is always followed by a Lengthencoding codeword, if the encoded clster is not a failed one All failed clsters are partitioned into blocks of size, and the blocks with the highest probabilities of occrrence are encoded sing a selective Hffman code as proposed in [8] We call this encoding encoding Some blocks remain n-encoded (failed blocks) and are embedded in the compressed test set As in the case of failed clsters, a single Hffman codeword is associated with each failed block, contrary to [8] where an extra bit is sed in front of all codewords The advantage of the proposed compression method is that the same Hffman decompressor can be sed for implementing the three different decodings (Cell, Length and ) Note that one codeword is sed for each cell As the same codewords are sed for all three encodings, the nmber of selected cells is eqal to the nmber of list lengths in Length encoding and to the nmber of niqe blocks encoded by encoding The Hffman tree is constrcted by smming the corresponding occrrence probabilities of the three cases so as a single Hffman code, covering all three of them, to be generated Ths the same codeword, depending on the mode of the decoding process, corresponds to 3 different kinds of information: to an LFSR cell (normal and/or inverted), to a clster-grop length or to a block of data Always the first codeword in the encoded-data stream is considered as a Cell-codeword If it does not indicate a failed clster, then the next codeword determines the length of the clster grop If, on the other hand, it corresponds to a failed clster, the next / codewords are processed as codewords Each of the codewords may indicate a failed block or a Hffman encoded block In the former case the actal block of data is embedded in the encoded-data stream, while in the later case the block of data is generated by the decompressor This seqence is iteratively repeated starting always from a Cell encoding codeword Example Consider a core with 48 scan chains and a test set of 768 bits For its encoding we se 4 LFSR cells and ths 4 clster-grop-list lengths and 4 encode-able data blocks Let each clster be 24 bit wide (2 clsters per slice) and each block 4 bit wide (6 blocks per clster) Figre 3 presents the selected cells, the available list lengths and the most freqently occrring data blocks sorted in descending order according to their occrrence freqency Each line of the table (ie, the respective case for all three encodings) corre- 2

3 sponds to a single codeword in the final encoded stream Note that there are 3 grops of clsters matched by LFSRcell seqences and 3 failed clsters which are partitioned into 8 blocks Overall, there are 47 occrrences of encodeable data and 5 niqe codewords that will be sed for encoding them The occrrence volmes in each line of the table are smmed and divided by the total nmber of occrrences (47), generating the probability of occrrence of each distinct codeword, as shown in Figre 3 The encoded stream in Figre 3 corresponds to the data stored in the ATE Initially (t ) the first slice is ndefined () The first codeword () corresponds to cell A, and the next codeword () indicates the grop length, which is 2 according to the table Therefore the first slice is filled by sing cell A (Clster in t and Clster 2 in t 2 ) The next codeword () indicates that the next clster is a failed one According to the proposed compression scheme, this clster is partitioned into 6 blocks The next codeword () indicates that the first block is a failed one as well; therefore the actal data () are not encoded and follow codeword in the code stream The codeword for the second block is which correspond to the encoded block This is repeated ntil all 6 blocks have been processed The size of the encoded data is bits c c 2 c 3 c 4 c 5 Hffman Tree Cell Encoding Cell Occr Length Encoding List Length Occr Encoding Occr Code Word A (6+7+8)/47 B Fail 4 (4+3+4)/47 Fail (3+2+3)/47 C (2++2)/47 D (+)/47 SUM Total Sm = 47 Failed Clster Failed c c 2 c 3 c 4 c 5 Encoded Stream t t t 2 t 3 t 4 Slice Slice 2 P Figre 3 Proposed Encoding Example Cell A (t ) Cell A (t ) Cell A (t 2 ) Clster Clster 2 3 Decompression Architectre The block diagram of the proposed decompression architectre is shown in Figre 4 The Inpt Bffer receives the encoded data from the ATE channels (ATE_DATA) with the freqency of the ATE clock (ATE_CLK), shifts them into Hffman FSM nit with the freqency of the system clock, and activates signal Empty to notify ATE so as to send the next test data When the Hffman FSM recognizes a codeword, it informs Inpt Bffer to stop sending test data and, assming that the implemented code consists of N codewords, it places on the bs CodeIndex a binary vale between and N-, which is sed as the Cell Selection Address (Figre 2) It also sets Valid Code= Units and Clster Grop Length which are combinational blocks (or lookp tables) retrn respectively the encoded block and grop length that correspond to CodeIndex As it was shown in Section 2, a failed clster is partitioned into blocks and each block is either Hffman encoded or not encoded (embedded as is into the compressed data) These two cases are distingished by the signal Select Hffman/ATE and the selected data are driven throgh mltiplexing logic to the Sorce Select nit This nit receives psedorandom clsters and blocks of failed clsters and, depending on the Select Clster/ signal, it constrcts the slice that will enter the scan chains ATE_DATA ATE_CLK Inpt Bffer ATE_SYNC Empty Sync Decompressor Hffman FSM Valid Code LFSR_en Controller Select Clster/ CodeIndex R_en R_en en R Select Hffman/ATE Cell Selection Address LFSR_en ATE_DATA Clster Grop Length Psedo- Random Generator Clster Sorce Select en slice Scan Chains W sc Figre 4 Decompression Architectre The controller is a finite state machine which synchronizes the operation of all nits Its state diagram is shown in Figre 5 (the most important signals are presented) Initially the controller waits for the first codeword to be received (Valid Code=) If this codeword indicates a non-failed clster (this is determined by the vale of bs CodeIndex), the controller sets R_en= so as to store the cell address to the R resister and proceeds to _LENGTH state Otherwise, it reaches state _FAILED_CLUSTER At _LENGTH state the controller waits for the next codeword and pon reception stores the data retrned by the Clster Grop Length nit (length of the grop) and sets Select Clster/= in order to enable psedorandom data to enter the Sorce Select nit Then it proceeds to the state LOAD_CLUSTER_GROUP where it remains for a nmber of clock cycles eqal to the length of the grop Dring these cycles the LFSR evolves (LFSR_en=) and the prodced psedorandom clsters are loaded into the Sorce Select nit Every time a whole test slice is ready, it is loaded into the scan chains After the end of these cycles the state machine retrns to _CHANNEL state for the next iteration In the _FAILED_CLUSTER state the controller waits for the next codeword If this codeword corresponds to an encoded block, the controller sets Select Hffman/ATE= and Select Clster/= in order to drive the otpt of the nit (which is the decoded data block) into the Sorce Select nit, and proceeds to the CLUSTER_DONE? state On slice W sc - N sc 3

4 the other hand if the received codeword corresponds to a failed block then the controller proceeds to the _ FAILED_BLOCK state and sets Select Hffman/ATE=, Select Clster/= and Sync= to enable the ATE to send the data block Then it samples the ATE_CLK and when the data from the ATE are available, they are driven directly to the Sorce Select nit (Inpt Bffer is bypassed) From the _FAILED_BLOCK state the controller proceeds to the CLUSTER_DONE? state If all blocks of the failed clster have been handled, the LFSR is let evolve for one clock cycle (LFSR_en=) and the next state is _CHANNEL Otherwise the controller proceeds to state _FAILED_ CLUSTER in order to process the next block,-,- /,,-,-, Valid Code,Grop_Done,ATE_CLK / Sync,R_en,Select Hffman-ATE,Select Clster-, LFSR_en FAILED BLOCK,-,- /,,-,-,,-,- /,,,, -,-, /,,,, -,-,/,,,, FAILED CLUSTER -,-,- /,,-,-, CLUSTER DONE?,-,- /,,-,-,,-,- /,,,, -,-,- /,,-,-, CHANNEL,-,- /,,-,-,,-,- /,,-,-, LENGTH -,,- /,,-,-, Figre 5 Controller State Diagram,-,- /,,-,, -,,- /,,-,, LOAD CLUSTER GROUP The Sorce Select nit is shown in Figre 6a It receives clster data prodced by the Psedorandom Generator (encoded clsters - Clster bs), as well as block data either by the nit (Hffman encoded blocks - bs) or by the ATE (failed blocks) The received data are stored in a bffer (Scan Bffer) of size eqal to that of a test slice (N sc ) This bffer consists of N sc / registers with size eqal to each, groped into k= N sc / grops of w=/ registers All Bffer Grops are loaded in a rond robin fashion (Bffer Grop i is loaded after Bffer Grop i- ) When SelectClster/= the Clster bs (of width ) loads, throgh the Mx nit, all registers of a grop simltaneosly (in a single clock cycle), while when SelectClster/= the bs (of width ) is driven to every register (w clock cycles are needed for loading a whole grop) This operation is handled by the controller throgh the se of w enable signals en iw en (i+)w-, one for each register in the grop (Bffer Grop i is shown in Figre 6b) Totally, k w enable signals are generated for the whole Scan Bffer In order for a clster to be loaded into Bffer Grop i by the Psedorandom Generator, all w enable signals of this grop are activated When a failed clster is loaded into Bffer Grop i, grop's i registers are enabled one after the other, ntil all the blocks of the failed clster are loaded into the corresponding registers (the enable signals are one-hot encoded) When the whole Scan Bffer is fll the scan chains are loaded The Scan Bffer can be avoided if the core is eqipped with a separate scan enable or clock signal for each scan chain Then the scan chains can be loaded directly withot the interference of the bffer, sing the enable signals for driving the scan enables, or for gating the clock of each scan chain Clster Select Clster/ Mx Unit (a) Scan Bffer w- kw- (k-)w Bffer Grop en en To w- N sc =k w Scan Chains en (k-)w en kw- w Bffer Grop k- w Clster Select Clster/ Mx Unit =w - mx 2- mx (w-)w- mx Bffer Grop i en iw en iw+ en (i+)w- (b) reg iw reg iw+ reg (i+)w- To Scan Chain i w (i w+) - (i w+) (i w+2) - [(i+)w-] (i+)w - Scan Chains Figre 6 Sorce Select nit As it will be shown, the encoding efficiency of the proposed method depends mainly on the nmber of selected cells, which determine the nmber of codewords of the Hffman code The same decompressor can be sed for two or more cores by changing only the nits and Clster Grop Length, as well as the mltiplexer in the Psedorandom Generator, which occpy only a small portion of the total area Moreover, if the and Clster Grop Length nits are implemented as lookp tables, they need to be loaded with the specific data of each core only at the beginning of the test session Therefore, the decompressor can be easily resed for different cores with almost zero area penalty In [] it was shown that the compression ratio redction in the case of tilizing the same decompressor for mltiple cores, de to the se of the same codewords, is only marginal This is easily explained if we take into accont that, for the same nmber of cells (same nmber of Hffman codewords) and relatively skewed freqencies of occrrence, the Hffman trees are not mch different and ths the encoding, if not optimal, will be very close to the optimal one Note that, regardless of the fact that the same Hffman FSM nit is tilized, the selected cells, list lengths, encoded blocks, clster size and block size do not have to be the same for different cores Let s now calclate the test application time Sppose that D, E is the size in bits of the ncompressed and compressed test set respectively The compression ratio is given by the formla CR=( D - E )/ D Let f ATE, f SYS be the ATE and system clock freqencies respectively, with f SYS =m f ATE, and N ch be the nmber of channels available for downloading the test data from the ATE Also, let G i be the nmber of occrrences of clster grops with length L i and F c, F b be the nmber of failed clsters and failed blocks respectively The test application time of the ncompressed test set is t D = D /(N ch f ATE ) and the redction is given by the formla 4

5 Table Compression Reslts Min- 2 scan chains 4 scan chains 8 scan chains scan chains Red core Test 8 cells 6 cells 24 cells 8 cells 6 cells 24 cells 8 cells 6 cells 24 cells 8 cells 6 cells 24 cells (%) s , s , s , s ,9 s ,4 s , t red =(t D -t E )/t D, where t E is the test application time of the compressed test set t E consists of for parts: t The time reqired for downloading the data (codewords and failed blocks) from the ATE to the core: t = E /(N ch f ATE ) t 2 The time for the serialization of codewords by the Inpt Bffer (note that the compressed test set contains also failed blocks which do not reqire serialization): t 2 =( E -F b )/f SYS t 3 The time reqired for loading the scan chains with psedorandom seqences of length eqal to the nmber of the decoded clster grops (each clster reqires a single clock cycle so as to be loaded): t 3 = Gi L i f SYS i t 4 The time reqired for loading the scan chains with failed clsters Each clster is partitioned into / blocks and a single clock cycle is reqired for loading each block (either from the nit or the ATE) We note that the time reqired for downloading the failed blocks from the ATE has been taken into accont in t Ths: t 4 =F b /( f SYS ) The total time reqired for the compressed test set is t E =t +t 2 +t 3 +t 4 and it can be easily proven that N ch F c t = + + red CR E F b Gi Li D m i 4 Evalation and comparisons The proposed compression method was implemented in C programming langage We condcted or experiments on a Pentim PC for the largest ISCAS '89 benchmarks circits sing the dynamically compacted test sets generated by the Mintest ATPG program [7] The same test sets were also sed in [2]-[6], [8], [3], [4], [7]-[9] The rn time of the compression method is a few seconds for each benchmark circit In the experiments that we will present, a primitivepolynomial LFSR with internal XOR gates and size 2 was sed Each XOR tree of the phase shifter consists of 3 gates size () was considered eqal to N ch and ranged from 5 to, while clster-size vales () ranged from 2 to 5 Note that normal and inverted LFSR-cell otpts can be selected as different cells In Table the compression reslts of the proposed method for 2, 4, 8 and scan chains, and 8, 6 and 24 cells are presented For each cell-volme case, varios clster and block sizes were examined Among them the best reslts are reported In colmn 2 the sizes of the original Mintest test sets are shown It is obvios that the compression improves as the nmber of cells increases Last colmn presents the redction achieved by the best reslts of the proposed method (boldfaced) over Mintest Table 2 Compression improvement (%) vs other methods Circ [2] [3] [4] [5] [6] [8] [3] [4] [7] [8] [9] s s s s s s In Table 2 we present the comparisons of the proposed method against other compression techniqes in the literatre that have reported reslts for the Mintest test sets It can be seen that the proposed approach performs better than all the other methods except of the case of s38584 of [3] which provides a marginally better reslt Compared to the singlescan-chain Mltilevel Hffman approach of [] the compression reslts are similar and therefore are not appended We note that no comparisons are provided against the approach of [2], which also exploits LFSR-generated psedorandom seqences, since its ATPG-synergy reqirement renders it nsitable for IP cores of nknown strctre Figre 7 TAT redction For assessing the test application time (TAT) improvements of or method we performed two sets of experiments, for the boldfaced cases of Table In the first one we stdy the redction of the test application time achieved against the case in which the test set is downloaded ncompacted (UNC) to the core, sing the same nmber of channels Figre 7 presents the average (UNC:AVG), minimm (UNC: MIN) and maximm (UNC:MAX) improvement for varios vales of m=f SYS /f ATE for all benchmarks It is obvios that as m increases, the test-time gain becomes greater In the second set of experiments we compare the test application time of the proposed method against the single-scan-chain Mltilevel Hffman approach of [] Since [] considers only one channel for downloading data from ATE, we recalclated its test application time for the channel volmes sed in this paper (an inpt bffer is appended in [] too) The best reslts of the proposed method and [] have been compared and the average ([]:AVG), minimm ([]:MIN) and maximm ([]:MAX) improvement for varios vales 5

6 of m for all benchmarks, are shown in Figre 7 It is obvios that the test application time gain is very high in all cases (4%-86%) However, althogh the test-time gain attribted to the parallel loading of mltiple scan chains is constant, the serialization of the decoder inpt data is carried ot faster as m increases and ths the test-time redction drops For calclating the hardware overhead of the proposed techniqe, we synthesized three different decompressors sing Leonardo Spectrm (Mentor tools) for 8, 6 and 24 cells, assming ATE channels, 4 scan chains, = 2 bits and = bits The and Clster Grop Length nits were implemented as combinational circits The reslted area overhead is 377, 473 and 582 gate eqivalents respectively (a gate eqivalent corresponds to a 2-inpt NAND gate) In this overhead we have not considered the Scan Bffer which can be avoided and is not considered in the other methods too The hardware overhead, in gate eqivalents, for the most efficient methods in the literatre is: 46 for [9], 32 for [4], for [6], for [2] (as reported in [6]) and for [], while the hardware overhead of [8], althogh not reported directly, is greater than that of [6] As can be seen, the hardware overhead of the proposed decompressor is comparable to that of the rest techniqes, even thogh all of them do not exploit the advantages of mltiple scan chains (ie, perform serial decoding which is a simpler and less hardware intensive case) The hardware overhead of the proposed method can be redced if the same decompressor is sed for testing, one after the other, several cores of a chip Units Hffman FSM, Controller, R, Sorce Select, as well as the LFSR and the phase shifter can be implemented only once on the chip On the other hand, nits, Clster Grop Length and the mltiplexer of the Psedorandom Generator mst be implemented for every core nder test The area occpied by the latter nits is eqal to 77%, 4% and 97% of the total area of the decompressor for 8, 6 and 24 cells respectively Therefore, only a small amont of hardware shold be added for each additional core The se of the same Hffman FSM nit for several cores implies that the codewords, which correspond to LFSR cells, list lengths and data blocks, are the same for each core, while the actal cells, list lengths and data blocks can be different As shown in [], in sch a case, the compression ratio sffers only a marginal decrease 5 Conclsion A test-data compression method that can exploit the existence of mltiple scan chains in a core in order to redce the test-application time has been presented Mltilevel Hffman coding, properly adapted to the mltiple-scan-chains case, is sed for compressing the test data, while a lowoverhead decompressor capable of generating whole clsters of test bits in parallel is also introdced The proposed method offers redced test-application times, high compression ratios and increased probability of detection of nmodeled falts, since most of the test sets' 'x' bits are replaced by psedorandom vales References [] K Chakrabarty, et al, Deterministic Bilt-In Test Pattern Generation for High-Performance Circits sing Twisted-Ring Conters, IEEE Trans On VLSI Systems, pp , Oct 2 [2] A Chandra, K Chakrabarty, System-on-a-Chip Test- Compression and Decompression Architectres Based on Golomb Codes, IEEE Trans on CAD, vol 2, no 3, pp , 2 [3] Chandra A, Chakrabarty K, Test Compression and Decompression Based on Internal Scan Chains and Golomb Coding, IEEE Trans on CAD, vol 2, pp 75-72, Jne 22 [4] A Chandra, K Chakrabarty, A Unified Approach to Redce SOC Test Volme, Scan Power and Testing Time, IEEE Trans on CAD, vol 22, no 3, pp , 23 [5] A Chandra, K Chakrabarty, Test Compression and Test Resorce Partitioning for System-On-A-Chip Using Freqency- Directed Rn-Length (FDR) codes, IEEE Trans on Compters, vol 52, no 8, pp 76 88, 23 [6] PT Gonciari, BM Al-Hashimi, N Nicolici, Variable-Length Inpt Hffman Coding for System-On-A-Chip Test, IEEE Trans on CAD, vol 22, no 6, pp , 23 [7] I Hamzaogl, J H Patel, Test Set Compaction Algorithms for Combinational Circits, IEEE Trans on CAD, vol 9, no 8, pp [8] A Jas, J Ghosh-Dastidar, M E Ng and N A Toba, An Efficient Test Vector Compression Scheme Using Selective Hffman Coding, IEEE Trans on CAD, vol22, no6, pp797-86, 23 [9] E Kalligeros et al, Mltiphase BIST: A New Reseeding Techniqe for High Test- Compression, IEEE Trans on CAD, vol 23, no, pp [] D Kaseridis et al, An Efficient Test Set Embedding Scheme with Redced Test Storage and Test Seqence Length Reqirements for Scan-based Testing, Inf Papers Dig of IEEE ETS, pp 47-5, 25 [] X Kavosianos et al, Efficient Test- Compression for IP Cores Using Mltilevel Hffman Coding, DATE 6, to appear [2] L Lei, K Chakrabarty, Test Set Embedding for Deterministic BIST Using A Reconfigrable Interconnection Network, IEEE Trans on CAD, vol23, pp , Dec 24 [3] Lei Li et al, Efficient space/time compression to redce test data volme and testing time for IP cores, Proc of Int Conf on VLSI Design, pp53-58, 25 [4] A El-Maleh, R Al-Abaji, Extended Freqency-Directed Rn-Length Code with Improved Application to System-On-A- Chip Test Compression Proc of IEEE ICE, vol 2, pp , 22 [5] M Norani, M H Tehranipor, RL-hffman Encoding for Test Compression and Power Redction in Scan Applications, ACM Trans on Design Atomation of Electronic Systems, vol, no, pp 9 5, 24 [6] J Rajski, N Tamarapalli, and J Tyszer, Atomated synthesis of phase shifters for bilt-in self-test applications, IEEE Trans Compter-AidedDesign, vol 9, pp 75 88, Oct 2 [7] P Rosinger, et al, Simltaneos Redction in Volme of Test and Power Dissipation for Systems-On-A-Chip, Electr Letters, vol 37, no 24, pp , 2 [8] M Tehranipor et al, Mixed RL-Hffman Encoding for Power Redction and Compression in Scan Test, Proc of ISCAS, vol 2, pp II- 68-4, 24 [9] M Tehranipor, M Norani, K Chakrabarty, Nine-Coded Compression Techniqe for Testing Embedded Cores in SoCs, IEEE Trans On VLSI Systems, vol 3, pp 79-73, Jne 25 [2] EH Volkerink, A Khoche, S Mitra, Packet-Based Inpt Test Compression Techniqes, Proc ITC, pp 54-63, 2 6

926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY /$ IEEE

926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY /$ IEEE 926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008 model interconnect with bends. Hence, the proposed cascading method is more appropriate. It is important

More information

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * V. Tenentes, X. Kavousianos and E. Kalligeros 2 Computer Science Department, University of Ioannina, Greece 2

More information

Low Power Estimation on Test Compression Technique for SoC based Design

Low Power Estimation on Test Compression Technique for SoC based Design Indian Journal of Science and Technology, Vol 8(4), DOI: 0.7485/ijst/205/v8i4/6848, July 205 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Estimation on Test Compression Technique for SoC based

More information

Synchronization Overhead in SOC Compressed Test

Synchronization Overhead in SOC Compressed Test TVLSI-289-23.R Synchronization Overhead in Compressed Test Paul Theo Gonciari, Member, IEEE, Bashir Al-Hashimi, Senior Member, IEEE, and Nicola Nicolici, Member, IEEE, Abstract Test data compression is

More information

Review: What is it? What does it do? slti $4, $5, 6

Review: What is it? What does it do? slti $4, $5, 6 Review: What is it? What does it do? Reg Src Instrction Instrction [3-] I [25-2] I [2-6] I [5 - ] 2 Src Op Reslt em em emtoreg I [5 - ] etend slti $, $5, 6 Reg Src Instrction Instrction [3-] I [25-2] I

More information

Chapter 4 (Part I) The Processor. Baback Izadi Division of Engineering Programs

Chapter 4 (Part I) The Processor. Baback Izadi Division of Engineering Programs EGC442 Introdction to Compter Architectre Chapter 4 (Part I) The Processor Baback Izadi Division of Engineering Programs bai@engr.newpaltz.ed Introdction CPU performance factors Instrction cont Determined

More information

Deterministic BIST Based on a Reconfigurable Interconnection Network

Deterministic BIST Based on a Reconfigurable Interconnection Network Deterministic BIST Based on a Reconfigurable Interconnection Network Lei Li and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University, Durham, NC 27708 {ll, krish}@ee.duke.edu

More information

Test Data Compression for System-on-a-Chip Using Golomb Codes 1

Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Anshuman Chandra and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University Durham, NC 27708 {achandra,

More information

Survey of Test Vector Compression Techniques

Survey of Test Vector Compression Techniques Tutorial Survey of Test Vector Compression Techniques Nur A. Touba University of Texas at Austin Test data compression consists of test vector compression on the input side and response compaction on the

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Speech Recognition Combining MFCCs and Image Features

Speech Recognition Combining MFCCs and Image Features Speech Recognition Combining MFCCs and Image Featres S. Karlos from Department of Mathematics N. Fazakis from Department of Electrical and Compter Engineering K. Karanikola from Department of Mathematics

More information

HIGHER circuit densities and ever-increasing design

HIGHER circuit densities and ever-increasing design IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 9, SEPTEMBER 2004 1289 Test Set Embedding for Deterministic BIST Using a Reconfigurable Interconnection Network

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

Novel Blind Recognition Algorithm of Frame Synchronization Words Based on Soft- Decision in Digital Communication Systems

Novel Blind Recognition Algorithm of Frame Synchronization Words Based on Soft- Decision in Digital Communication Systems RESEARCH ARTICLE Novel Blind Recognition Algorithm of Frame Synchronization Words Based on Soft- Decision in Digital Commnication Systems Jiangyi Qin*, Zhiping Hang, Chnw Li, Shaojing S, Jing Zho College

More information

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores CacheCompress A Novel Approach for Test Data Compression with cache for IP cores Hao Fang ( 方昊 ) fanghao@mprc.pku.edu.cn Rizhao, ICDFN 07 20/08/2007 To be appeared in ICCAD 07 Sections Introduction Our

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 5, MAY 2002 597 Low-Power Scan Testing and Test Data Compression for System-on-a-Chip Anshuman Chandra, Student

More information

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression World Applied Sciences Journal 32 (11): 2229-2233, 2014 ISSN 1818-4952 IDOSI Publications, 2014 DOI: 10.5829/idosi.wasj.2014.32.11.1325 A Combined Compatible Block Coding and Run Length Coding Techniques

More information

Test Compression for Circuits with Multiple Scan Chains

Test Compression for Circuits with Multiple Scan Chains Test Compression for Circuits with Multiple Scan Chains Ondřej Novák, Jiří Jeníček, Martin Rozkovec Institute of Information Technologies and Electronics Technical University in Liberec Liberec, Czech

More information

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding C. V. KRISHNA, ABHIJIT JAS, and NUR A. TOUBA University of Texas, Austin Previous forms of LFSR reseeding have been static (i.e.,

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

A Real-time Framework for Video Time and Pitch Scale Modification

A Real-time Framework for Video Time and Pitch Scale Modification Dblin Institte of Technology ARROW@DIT Conference papers Adio Research Grop 2008-06-01 A Real-time Framework for Video Time and Pitch Scale Modification Ivan Damnjanovic Qeen Mary University London Dan

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

Montgomery Modular Exponentiation on Reconfigurable Hardware æ

Montgomery Modular Exponentiation on Reconfigurable Hardware æ Montgomery Modlar Exponentiation on Reconfigrable Hardware æ Thomas Blm Worcester Polytechnic Institte ECE Department Worcester, MA 0609-2280, USA tblm@ece.wpi.ed Christof Paar christof@ece.wpi.ed Abstract

More information

Analog Signal Input. ! Note: B.1 Analog Connections. Programming for Analog Channels

Analog Signal Input. ! Note: B.1 Analog Connections. Programming for Analog Channels B Analog Signal Inpt B.1 Analog Connections Refer to the diagram (page B-10) showing the VAN analog boards for connection of analog inpts. Be sre yo follow the indicated positive and negative polarity

More information

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Test Set L m CUT k LFSR There are several possibilities: Multiplex the k outputs of the CUT. M 1 P(X)=X 4 +X+1

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing Meng-Fan Wu, Jiun-Lang Huang Graduate Institute of Electronics Engineering Dept. of

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

Using Device-Specific Data Acquisition for Automated Laboratory Testing

Using Device-Specific Data Acquisition for Automated Laboratory Testing TRANSPOR'IATION RESEARCH RECORD 1432 9 Using Device-Specific Data Acqisition for Atomated Laboratory Testing THOMAS C. SHEAHAN, DON J. DEGROOT, AND JOHN T. GERMAINE Compter-based data acqisition systems

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 6 (2017), pp. 1493-1498 Research India Publications http://www.ripublication.com March Test Compression Technique

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Testing of Cryptographic Hardware

Testing of Cryptographic Hardware Testing of Cryptographic Hardware Presented by: Debdeep Mukhopadhyay Dept of Computer Science and Engineering, Indian Institute of Technology Madras Motivation Behind the Work VLSI of Cryptosystems have

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

With Ease. BETTY WAGNER Associate Trinity College London, Associate Music Australia READING LEDGER LINE NOTES

With Ease. BETTY WAGNER Associate Trinity College London, Associate Music Australia READING LEDGER LINE NOTES READING LEDGER LINE NOTES With Ease f G f o o BETTY WAGNER Associate Trinity College London, Associate Msic Astralia READING LEDGER LINE NOTES A Nova WITH EASE Book Company Page Pblication http://www.msic-with-ease.com

More information

An Efficient Spurious Power Suppression Technique (SPST) and its Applications on MPEG-4 AVC/H.264 Transform Coding Design

An Efficient Spurious Power Suppression Technique (SPST) and its Applications on MPEG-4 AVC/H.264 Transform Coding Design An Efficient Sprios Sppression echniqe (SPS) and s Applications on PEG-4 AVC/H64 ransform Coding De Kan-Hng Chen, Ko-Chan Chao, Jinn-Shyan Wang, Yan-Sn Ch Department of Electrical Engineering, National

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedbac Shift Register G Dimitraopoulos, D Niolos and D Baalis Computer Engineering and Informatics Dept, University of Patras,

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Easy Estimation of Spectral Purity of Test Signals for ADC Testing. David Slepička

Easy Estimation of Spectral Purity of Test Signals for ADC Testing. David Slepička Sep. -4, 008, lorence, Italy Easy Estimation of Spectral Prity of Test Signals for ADC Testing David Slepička Czech Technical University in Prage, aclty of Electrical Engineering, Dept. of Measrement Technická,

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS Jiří Balcárek Informatics and Computer Science, 1-st class, full-time study Supervisor: Ing. Jan Schmidt, Ph.D.,

More information

Optimal Selective Count Compatible Runlength Encoding for SOC Test Data Compression

Optimal Selective Count Compatible Runlength Encoding for SOC Test Data Compression J Electron Test (2016) 32:735 747 DOI 10.1007/s10836-016-5617-x Optimal Selective Count Compatible Runlength Encoding for SOC Test Data Compression Harpreet Vohra 1 Amardeep Singh 2 Received: 12 June 2016

More information

SoC Testing Using LFSR Reseeding, and Scan-Slice-Based TAM Optimization and Test Scheduling

SoC Testing Using LFSR Reseeding, and Scan-Slice-Based TAM Optimization and Test Scheduling So Testing Using LFSR Reseeding, and Scan-Slice-Based TAM Optimization and Test Scheduling Zhanglei Wang, Krishnendu hakrabarty and Seongmoon Wang EE Dept., Duke University, Durham, N NE Laboratories America,

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Implementation of Memory Based Multiplication Using Micro wind Software

Implementation of Memory Based Multiplication Using Micro wind Software Implementation of Memory Based Multiplication Using Micro wind Software U.Palani 1, M.Sujith 2,P.Pugazhendiran 3 1 IFET College of Engineering, Department of Information Technology, Villupuram 2,3 IFET

More information

Survey of low power testing of VLSI circuits

Survey of low power testing of VLSI circuits Science Journal of Circuits, Systems and Signal Processing 2013; 2(2) : 67-74 Published online May 20, 2013 (http://www.sciencepublishinggroup.com/j/cssp) doi: 10.11648/j.cssp.20130202.15 Survey of low

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Chapter 10 Exercise Solutions

Chapter 10 Exercise Solutions VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 1/10 Chapter 10 Exercise Solutions 10.1 The following is just an example for testing chips and interconnects on a board.

More information

Efficient Trace Signal Selection for Post Silicon Validation and Debug

Efficient Trace Signal Selection for Post Silicon Validation and Debug Efficient Trace Signal Selection for Post Silicon Validation and Debug Kanad Basu and Prabhat Mishra Computer and Information Science and Engineering University of Florida, ainesville FL 32611-6120, USA

More information

Computer and Digital System Architecture

Computer and Digital System Architecture Compter and Digital Sytem Architectre EE/CpE-517-A Brce McNair mcnair@teven.ed Steven Intitte of Technology - All right reerved 4-1/65 Week 4 ARM organization and implementation Frer Ch. 4 Steven Intitte

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY 1 Chava.swapna, PG Scholar in VLSI, 2 D.Venkataramireddy, M.Tech, Assoc. Professor, ECE Department, 1 chava.swapna@gmail.com,

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Launch-on-Shift-Capture Transition Tests

Launch-on-Shift-Capture Transition Tests Launch-on-Shift-Capture Transition Tests Intaik Park and Edward J. McCluskey Center for Reliable Computing, Stanford University, Stanford, USA Abstract The two most popular transition tests are launch-on-shift

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

DESIGN O'F A HIGH SPEED DDA

DESIGN O'F A HIGH SPEED DDA DESIGN O'F A HIGH SPEED DDA Mark W. Goldman Gidance and Control Department Martin Company Baltimore, Maryland INTRODUCTION The objective of the company-fnded task which spported this work was to develop

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

1. Basic safety information 4 2. Proper use 4

1. Basic safety information 4 2. Proper use 4 307041 01 EN Digital twilight switch LUNA 120 top2 1200100/ 1200200 1. Basic safety information 4 2. Proper se 4 Disposal 4 3. Installation and connection 5 Monting the time switch 5 Connecting the cable

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Implementation of Scan Insertion and Compression for 28nm design Technology

Implementation of Scan Insertion and Compression for 28nm design Technology Implementation of Scan Insertion and Compression for 28nm design Technology 1 Mohan PVS, 2 Rajanna K.M 1 PG Student, Department of ECE, Dr. Ambedkar Institute of Technology, Bengaluru, India 2 Associate

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Efficient Test Pattern Generation Scheme with modified seed circuit.

Efficient Test Pattern Generation Scheme with modified seed circuit. Efficient Test Pattern Generation Scheme with modified seed circuit. PAYEL MUKHERJEE, Mrs. N.SARASWATHI Abstract This paper proposes a modified test pattern generator which produces single bit change vectors

More information

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Journal of ELECTRICAL ENGINEERING, VOL. 58, NO. 3, 2007, 121 127 DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Gregor Papa Tomasz Garbolino Franc Novak Andrzej H lawiczka

More information

A Model for Scale-Degree Reinterpretation: Melodic Structure, Modulation, and Cadence Choice in the Chorale Harmonizations of J. S.

A Model for Scale-Degree Reinterpretation: Melodic Structure, Modulation, and Cadence Choice in the Chorale Harmonizations of J. S. Empirical Msicology Review Vol. 10, No. 3, 2015 A Model for Scale-Degree Reinterpretation: Melodic Strctre, Modlation, and Cadence Choice in the Chorale Harmonizations of J. S. Bach TREVOR de CLERCQ[1]

More information

Designing for High Speed-Performance in CPLDs and FPGAs

Designing for High Speed-Performance in CPLDs and FPGAs Designing for High Speed-Performance in CPLDs and FPGAs Zeljko Zilic, Guy Lemieux, Kelvin Loveless, Stephen Brown, and Zvonko Vranesic Department of Electrical and Computer Engineering University of Toronto,

More information

LOW-OVERHEAD BUILT-IN BIST RESEEDING

LOW-OVERHEAD BUILT-IN BIST RESEEDING LOW-OVERHEA BUILT-IN BIST RESEEING Ahmad A. Al-Yamani and Edward J. McCluskey Center for Reliable Computing, Stanford University {alyamani, ejm@crc.stanford.edu} Abstract Reseeding is used to improve fault

More information

Vadim V. Romanuke * (Professor, Polish Naval Academy, Gdynia, Poland)

Vadim V. Romanuke * (Professor, Polish Naval Academy, Gdynia, Poland) Electrical, Control and Commnication Engineering ISSN 2255-959 (online) ISSN 2255-940 (print) 20, vol. 4, no., pp. 5 57 doi: 0.247/ecce-20-0006 https://www.degryter.com/view/j/ecce An Attempt of Finding

More information

On Reducing Both Shift and Capture Power for Scan-Based Testing

On Reducing Both Shift and Capture Power for Scan-Based Testing On Reducing Both Shift and apture Power for Scan-Based Testing Jia LI,2, Qiang U 3,4, Yu HU, iaowei LI * Key Laboratory of omputer System and Architecture IT, hinese Academy of Sciences Beijing, 8; 2 Graduate

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing 25 IEEE 2rd North Atlantic Workshop Multivalued Logic for Reduced Pin Count and Multi-Site SoC ing Baohu Li and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University,

More information