Remote Diagnostics and Upgrades

Size: px
Start display at page:

Download "Remote Diagnostics and Upgrades"

Transcription

1 Remote Diagnostics and Upgrades Tim Pender -Eastman Kodak Company 10/03/03

2 About this Presentation Motivation for Remote Diagnostics Reduce Field Maintenance costs Product needed to support 100 JTAG chains Support non-compliant vendors Scope will not discuss remote access SW schemes will address detailed System Level JTAG Terminology Reconfiguration vs Reprogramming

3 Agenda Remote Diagnostics Example Benefits JTAG MUX IP Remote Diagnostics Board Overview Altera EPC ISP configuration proms Embedded FPGA BIST Controller JTAG Signal Integrity Summary 3

4 J PCI Bridge Mode jumpers J F J F J F EPC4 Mode jumpers JTAG Connector J J F J F J F F EPC4 Mode jumpers JTAG Connector J F J PCI F J F Bridge F EPC4 P C I J PCI Bridge F P C I P C I JTAG Connector TDO TMS TCK TDI TDO TMS TCK 20KE FPGA TDI PCI Lcl BUS TDO TMS TCK 20KE FPGA TDI PCI Lcl BUS 20KE FPGA PCI Lcl BUS Remote Diagnostics/ Upgrade Example Remote JTAG Enabled Product J Mode jumpers F J F J F JTAG Connector 20KE FPGA Programming/ Test Files Software JTAG Controller Remote Access PCI Bridge EPC4 J F TDO TMS TCK TDI PCI Lcl BUS System Level JTAG Access Product P C I P C I JTAG access to all chains in the system with a single point of entry (Ideal) Embedded JTAG controller with Test and/or programming files Remote Access can control product or download updated files 4

5 Benefits - Remote Diagnostics/Upgrades $$$ Test Development Reuse Reduction in Field Service Labor Simple enough for end user test/updates Remote test/updates Time Time to market improved less JTAG debug needed latest FW can be upgraded in the field Better Uptime Remote diagnostics isolate fault so replacement part is in hand. Timely upgrades 5

6 Benefits- Reduction in Field Service labor Traditional Field Service (FS) Update Labor time = 20,000 hrs or 500 wk (5000 products) (4 hrs/ products) Update cost = $1.5 Million (per upgrade) (20,000 hrs) ($75/hr) Upgrade Cost ($ Millions) Upgrade Costs of 5000 products Field Service Remote Upgrades Num ber of Upgrades Remote Upgrade Update Cycle Time Update Labor Time time = 1hr Development cost = $400k (2 Engineer yrs.) (2000hr /yr..) ($100/hr) Hardware cost = $100k Labor Time (hr) Field Service Remote Upgrades (5000 prod) ($20 / prod) Total Upgrade cost = $500k (one time cost) Number of products Updated (hundred) 49 6

7 JTAG MUX IP Overview clk rst_n cmd_sel oe_n host1 host2 host3 host4 hostx_prstn JTAGMUX remote sync serial protocol decode Host Selection TAP HW ChainPrsnt HW HostPrsnt SW Chain Selector Control User Def DIO1 DIO2 Chain Linker dio1 dio2 chain1 chain2 chain3 chain4 chainx_prstn JTAGMUX remote - uses a serial protocol to write or read JTAG registers via software control The JTAG registers allow control of the chain and hosts regardless of Host and chain present inputs JTAGMUX local- The Host Selection and Chain linking is dependant on the Host and Chain present inputs Host priority host1 highest & host4 lowest (incase of multiple hosts) Chain order is guaranteed chain1 first & chain 4 last 7

8 JTAG MUX local - isolating a chain Chain isolation is important, many silicon vendors have noncompliant JTAG hardware or software Emulator Host drives the DSP, chain1 is selected because chain1 Present pin is connected to ground through JMP1. 8

9 JTAG MUX local - daisy chain Altera and Xilinx devices are daisy-chained, chain1&2 Present pins are connected to ground through JMP1 & JMP2. 9

10 Automatic Chain Selection/ Host Adapter Harness VCC JTAG Mux Chain1 DSP DSP EMU tck tms tdi tdo Gnd J T A G H e a d e r Chain1_prsntn Chain2_prsntn Chain3_prsntn Chain4_prsntn Port1_tck Port1_tms Port1_tdi Port1_tdo Port1_prsntn Chain2 Chain3 Altera CPLDs Xilinx FPGAs Altera Byteblaster Chain4 up up EMU tck tms tdi tdo Gnd tck tms tdi tdo Gnd ASSET dio_1 dio_2 dio_3 dio_1 tck tms tdi tdo Gnd Illustration of Reducing the number of JTAG connectors on a Board. Create one JTAG connector on the board. Create a harness that adapts each JTAG host to the JTAG connector. The appropriate chain is automatically selected because the harness routes ground to the corresponding chain present line, no jumpers needed. Note the Asset JTAG tester has optimal control since it has digital io pins 10

11 Remote Diagnostics Board (RDB) Block Diagram Analog Drivers chain1 System Controller FPGA JTAG Host JTAG PARTITIONING EPLD chain2 EPC config prom chain3 User IF Memory chain4 Mixed Signal/ DOT4 Chain1 is connected to IO pins on the FPGA and depending on the configuration loaded may or may not have soft TAP functionally. Chain 2 has two devices, the Altera EPC4 and FPGA. Chain 3 consists of three 74abt18245 buffers. Chain 4 is a National Semiconductor STA400 Dot 4 chip. 11

12 Embedded FPGA BIST Controller Use the Centralized FPGA to Test the board at speed BIST routines were developed for FPGA peripherals (SRAM, Relays, Fans, Stepper Motor, ADC) MemBIST VHDL generated by Mentor SW Mentor BSD Architect developed a soft TAP VHDL JTAG interface New instructions were added to soft tap to launch the Test Benches. 12

13 sync serial protocol decode TAP HW ChainPrsnt H W H o s tp rs n t SW Chain Selector C ontrol User Def DIO1 DIO2 C hain Prsntn EPC4 ISP configuration Prom EPC4 JTAG Host oe oe TDI clk rs t_ n cm d_sel oe_n host1 host2 host3 host EPLD H o s t S e le c tio n hostx_prstn TCK JTAG M U X re m o te TAP C h a in Linker chainx_prstn TMS D IO 1 D IO 2 chain1 chain2 chain3 chain4 TDO DIO1 chain1 chain2 DCLK DATA CONFIGn 20KE FPGA STATUSn CONF_DONEn Configuration Pins TDO S O TMS F T BIST TCK Controller TDI T A TRSTnP TAP TDI TCK TMS TDO CSn PGM0 PGM1 PGM2 INIT_CONFn DCLK DATA0 System Controller BIST Controller TDI TAP TDO TCK TMS System level JTAG - All chains are accounted for. The JTAG host can access the JTAG MUX host4 input to gain access to chains 1-4 (only 1&2 shown). The JTAG host can also access the EPLD TAP for reprogramming. The EPC4 will configure the FPGA with the page of memory corresponding to PGM pins driven by the JTAG MUX. 13

14 TCK Signal Integrity tck 6" 1" Probe 2:U32.1 Probe 3:U33.1 Probe 4:U34.1 1k 1" NO COMPENSATION The waveform shows fluctuations on TCK upper rail into intermediate area for about 3ns (BAD). Fluctuations at the lower rail are marginal since they exceed.8v for less than 1ns. V O L T A G E (V) Bad Marginal Time (ns) tck 39 6" 1" Probe 2:U32.1 Probe 3:U33.1 Probe 4:U pf 1k SERIES COMPENSATION & CAP The capacitor at the output of the driver slows the rise time down to from 1ns to 3.5 ns. 1" V O L T A G E (V) Great Time (ns) 14

15 TCK Signal Integrity Comment: TCK 2 loads (39 ohm series comp.) tck 39 4" Marginal Probe 5:U25.1 Probe 6:U35.1 1k 6" Vo lta ge - V Marginal SERIES COMPENSATION Adding compensation made the both edges look worse, however it did help the ringing slightly Time (ns) Comment: TCK 2 loads ( 100 series ohm and 10pf cap at input) 4" Probe 5:U25.1 Probe 6:U35.1 tck 1k 6" 10p 100 V O L T A G E Great 10p INPUT RESISTOR & CAP The new C value is 10pf + Cin which slows the edges down even further. (V) Time (ns) 15

16 Summary Treat TCK like any other clock signal for SI A System level JTAG strategy enables Remote diagnostics and upgrades JTAG MUX Embedded BIST in FPGAs allows HW engineers to test the hardware Concerns with Remote operations Security / Remote Access method Safety Huge Benefits $$ 16

17 Acronyms BIST Built In Self Test EPLD Electrically Programmable Logic Device EMU Emulator FPGA Field Programmable Gate array FS Field Service FW Firmware HW Hardware IP Intellectual Property ISP In-System Programmable JAM Altera developed Standard Test and Programming Language JTAG Joint Test Action Group, IEEE LPT PC Parallel Port PCB Printed Circuit Board SI Signal Integrity STPL Standard Test and Programming Language (JEDEC JESD71) SVF Serial Vector Format SW Software 17

BTW03 DESIGN CONSIDERATIONS IN USING AS A BACKPLANE TEST BUS International Test Conference. Pete Collins

BTW03 DESIGN CONSIDERATIONS IN USING AS A BACKPLANE TEST BUS International Test Conference. Pete Collins 2003 International Test Conference DESIGN CONSIDERATIONS IN USING 1149.1 AS A BACKPLANE TEST BUS Pete Collins petec@jtag.co.uk JTAG TECHNOLOGIES BTW03 PURPOSE The purpose of this presentation is to discuss

More information

Tools to Debug Dead Boards

Tools to Debug Dead Boards Tools to Debug Dead Boards Hardware Prototype Bring-up Ryan Jones Senior Application Engineer Corelis 1 Boundary-Scan Without Boundaries click to start the show Webinar Outline What is a Dead Board? Prototype

More information

3. Configuration and Testing

3. Configuration and Testing 3. Configuration and Testing C51003-1.4 IEEE Std. 1149.1 (JTAG) Boundary Scan Support All Cyclone devices provide JTAG BST circuitry that complies with the IEEE Std. 1149.1a-1990 specification. JTAG boundary-scan

More information

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Using the XC9500/XL/XV JTAG Boundary Scan Interface Application Note: XC95/XL/XV Family XAPP69 (v3.) December, 22 R Using the XC95/XL/XV JTAG Boundary Scan Interface Summary This application note explains the XC95 /XL/XV Boundary Scan interface and demonstrates

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family December 2011 CIII51014-2.3 12. IEEE 1149.1 (JTAG) Boundary-Scan Testing for the Cyclone III Device Family CIII51014-2.3 This chapter provides guidelines on using the IEEE Std. 1149.1 boundary-scan test

More information

Comparing JTAG, SPI, and I2C

Comparing JTAG, SPI, and I2C Comparing JTAG, SPI, and I2C Application by Russell Hanabusa 1. Introduction This paper discusses three popular serial buses: JTAG, SPI, and I2C. A typical electronic product today will have one or more

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Memec Spartan-II LC User s Guide

Memec Spartan-II LC User s Guide Memec LC User s Guide July 21, 2003 Version 1.0 1 Table of Contents Overview... 4 LC Development Board... 4 LC Development Board Block Diagram... 6 Device... 6 Clock Generation... 7 User Interfaces...

More information

Saving time & money with JTAG

Saving time & money with JTAG Saving time & money with JTAG AltiumLive 2017: ANNUAL PCB DESIGN SUMMIT Simon Payne CEO, XJTAG Ltd. Saving time and money with JTAG JTAG / IEEE 1149.X Take-away points Get JTAG right from the start Use

More information

Section 24. Programming and Diagnostics

Section 24. Programming and Diagnostics Section. and Diagnostics HIGHLIGHTS This section of the manual contains the following topics:.1 Introduction... -2.2 In-Circuit Serial... -2.3 Enhanced In-Circuit Serial... -5.4 JTAG Boundary Scan... -6.5

More information

CHAPTER 3 EXPERIMENTAL SETUP

CHAPTER 3 EXPERIMENTAL SETUP CHAPTER 3 EXPERIMENTAL SETUP In this project, the experimental setup comprised of both hardware and software. Hardware components comprised of Altera Education Kit, capacitor and speaker. While software

More information

Subjects. o JTAG Technologies (Rik Doorneweert, Area Manager) o JTAG Technologies B.V. activities o Introduction to (classic) Boundary Scan

Subjects. o JTAG Technologies (Rik Doorneweert, Area Manager) o JTAG Technologies B.V. activities o Introduction to (classic) Boundary Scan Subjects o JTAG Technologies (Rik Doorneweert, Area Manager) o JTAG Technologies B.V. activities o Introduction to (classic) Boundary Scan o Grass Valley Breda(Camera division) (Khaled Sarsam, Test Automation

More information

Section 24. Programming and Diagnostics

Section 24. Programming and Diagnostics Section. Programming and Diagnostics HIGHLIGHTS This section of the manual contains the following topics:.1 Introduction... -2.2 In-Circuit Serial Programming... -3.3 Enhanced In-Circuit Serial Programming...

More information

of Boundary Scan techniques.

of Boundary Scan techniques. SMT TEHNOLOGY Boundary Scan Techniques for Test Coverage Improvement When discussing the JTAG protocol, most engineers immediately think of In System Programming procedures. Indeed, there are numerous

More information

Concurrent Programming through the JTAG Interface for MAX Devices

Concurrent Programming through the JTAG Interface for MAX Devices Concurrent through the JTAG Interface for MAX Devices February 1998, ver. 2 Product Information Bulletin 26 Introduction Concurrent vs. Sequential In a high-volume printed circuit board (PCB) manufacturing

More information

Configuring FLASHlogic Devices

Configuring FLASHlogic Devices Configuring FLASHlogic s April 995, ver. Application Note 45 Introduction The Altera FLASHlogic family of programmable logic devices (PLDs) is based on CMOS technology with SRAM configuration elements.

More information

DMC550 Technical Reference

DMC550 Technical Reference DMC550 Technical Reference 2002 DSP Development Systems DMC550 Technical Reference 504815-0001 Rev. B September 2002 SPECTRUM DIGITAL, INC. 12502 Exchange Drive, Suite 440 Stafford, TX. 77477 Tel: 281.494.4505

More information

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies Ilmenau, 9 Dec 206 Testing and programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge

More information

the Boundary Scan perspective

the Boundary Scan perspective the Boundary Scan perspective Rik Doorneweert, JTAG Technologies rik@jtag.com www.jtag.com Subjects Economics of testing Test methods and strategy Boundary scan at: Component level Board level System level

More information

In-System Programmability Guidelines

In-System Programmability Guidelines In-System Programmability Guidelines May 1999, ver. 3 Application Note 100 Introduction As time-to-market pressures increase, design engineers require advanced system-level products to ensure problem-free

More information

SAU510-USB ISO PLUS v.2 JTAG Emulator. User s Guide 2013.

SAU510-USB ISO PLUS v.2 JTAG Emulator. User s Guide 2013. User s Guide 2013. Revision 1.00 JUL 2013 Contents Contents...2 1. Introduction to...4 1.1 Overview of...4 1.2 Key Features of...4 1.3 Key Items of...5 2. Plugging...6 2.1. Equipment required...6 2.2.

More information

Product Update. JTAG Issues and the Use of RT54SX Devices

Product Update. JTAG Issues and the Use of RT54SX Devices Product Update Revision Date: September 2, 999 JTAG Issues and the Use of RT54SX Devices BACKGROUND The attached paper authored by Richard B. Katz of NASA GSFC and J. J. Wang of Actel describes anomalies

More information

Overview of BDM nc. The IEEE JTAG specification is also recommended reading for those unfamiliar with JTAG. 1.2 Overview of BDM Before the intr

Overview of BDM nc. The IEEE JTAG specification is also recommended reading for those unfamiliar with JTAG. 1.2 Overview of BDM Before the intr Application Note AN2387/D Rev. 0, 11/2002 MPC8xx Using BDM and JTAG Robert McEwan NCSD Applications East Kilbride, Scotland As the technical complexity of microprocessors has increased, so too has the

More information

7 Nov 2017 Testing and programming PCBA s

7 Nov 2017 Testing and programming PCBA s 7 Nov 207 Testing and programming PCBA s Rob Staals JTAG Technologies Email: robstaals@jtag.com JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before

More information

JTAG Test Controller

JTAG Test Controller Description JTAG Test Controller The device provides an interface between the 60x bus on the Motorola MPC8260 processor and two totally independent IEEE1149.1 interfaces, namely, the primary and secondary

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

Using the XSV Board Xchecker Interface

Using the XSV Board Xchecker Interface Using the XSV Board Xchecker Interface May 1, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC9510 CPLD on the XSV Board to enable the programming

More information

Universal ByteBlaster

Universal ByteBlaster Universal ByteBlaster Hardware Manual June 20, 2005 Revision 1.1 Amfeltec Corp. www.amfeltec.com Copyright 2008 Amfeltec Corp. 35 Fifefield dr. Maple, L6A 1J2 Contents Contents 1 About this Document...

More information

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies 8 Nov 25 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Using SignalTap II in the Quartus II Software

Using SignalTap II in the Quartus II Software White Paper Using SignalTap II in the Quartus II Software Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.1, helps reduce verification

More information

M89 FAMILY In-System Programmable (ISP) Multiple-Memory and Logic FLASH+PSD Systems for MCUs

M89 FAMILY In-System Programmable (ISP) Multiple-Memory and Logic FLASH+PSD Systems for MCUs In-System Programmable (ISP) Multiple-Memory and Logic FLASH+PSD Systems for MCUs DATA BRIEFING Single Supply Voltage: 5V±10% for M9xxFxY 3 V (+20/ 10%) for M9xxFxW 1 or 2 Mbit of Primary Flash Memory

More information

Virtex-II Pro and VxWorks for Embedded Solutions. Systems Engineering Group

Virtex-II Pro and VxWorks for Embedded Solutions. Systems Engineering Group Virtex-II Pro and VxWorks for Embedded Solutions Systems Engineering Group Embedded System Development Embedded Solutions Key components of Embedded systems development Integrated development environment

More information

Interfacing the TLC5510 Analog-to-Digital Converter to the

Interfacing the TLC5510 Analog-to-Digital Converter to the Application Brief SLAA070 - April 2000 Interfacing the TLC5510 Analog-to-Digital Converter to the TMS320C203 DSP Perry Miller Mixed Signal Products ABSTRACT This application report is a summary of the

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab CPU design and PLDs Tajana Simunic Rosing Source: Vahid, Katz 1 Lab #3 due Lab #4 CPU design Today: CPU design - lab overview PLDs Updates

More information

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies 6 Dec 24 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0. Entry Level Tool II Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 1.0.3 Date : October 7, 2005 Copyright 2005-2006,, Inc. (SLS) All

More information

BABAR IFR TDC Board (ITB): system design

BABAR IFR TDC Board (ITB): system design BABAR IFR TDC Board (ITB): system design Version 1.1 12 december 1997 G. Crosetti, S. Minutoli, E. Robutti I.N.F.N. Genova 1. Introduction TDC readout of the IFR will be used during BABAR data taking to

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package November 1999, ver. 1.02 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package August 1997, ver. 1 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

SignalTap Analysis in the Quartus II Software Version 2.0

SignalTap Analysis in the Quartus II Software Version 2.0 SignalTap Analysis in the Quartus II Software Version 2.0 September 2002, ver. 2.1 Application Note 175 Introduction As design complexity for programmable logic devices (PLDs) increases, traditional methods

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs Using IEEE 49. Boundary Scan (JTAG) With Cypress Ultra37 CPLDs Introduction As Printed Circuit Boards (PCBs) have become multi-layered with double-sided component mounting and Integrated Circuits have

More information

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics - Introduction to JTAG / boundary scan-based testing for 3D integrated systems (C) 2011 - GOEPEL Electronics - www.goepelusa.com Who is GOEPEL? World Headquarters: GÖPEL electronic GmbH Göschwitzer Straße

More information

University of Arizona January 18, 2000 Joel Steinberg Rev. 1.6

University of Arizona January 18, 2000 Joel Steinberg Rev. 1.6 I/O Specification for Serial Receiver Daughter Board (PCB-0140-RCV) (Revised January 18, 2000) 1.0 Introduction The Serial Receiver Daughter Board accepts an 8b/10b encoded serial data stream, operating

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

On-Chip Instrumentation and In-Silicon Debug Tools for SoC Dr. Neal Stollon HDL Dynamics

On-Chip Instrumentation and In-Silicon Debug Tools for SoC Dr. Neal Stollon HDL Dynamics On-Chip Instrumentation and In-Silicon Tools for SoC Dr. Neal Stollon HDL Dynamics neals@hdldynamics.com So What do we mean by On-Chip Instrumentation and In-Silicon? What will this talk cover An Overview

More information

How to overcome/avoid High Frequency Effects on Debug Interfaces Trace Port Design Guidelines

How to overcome/avoid High Frequency Effects on Debug Interfaces Trace Port Design Guidelines How to overcome/avoid High Frequency Effects on Debug Interfaces Trace Port Design Guidelines An On-Chip Debugger/Analyzer (OCD) like isystem s ic5000 (Figure 1) acts as a link to the target hardware by

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

Alice EduPad Board. User s Guide Version /11/2017

Alice EduPad Board. User s Guide Version /11/2017 Alice EduPad Board User s Guide Version 1.02 08/11/2017 1 Table OF Contents Chapter 1. Overview... 3 1.1 Welcome... 3 1.2 Launchpad features... 4 1.3 Alice EduPad hardware features... 4 Chapter 2. Software

More information

UltraLogic 128-Macrocell ISR CPLD

UltraLogic 128-Macrocell ISR CPLD 256 PRELIMINARY Features 128 macrocells in eight logic blocks In-System Reprogrammable (ISR ) JTAG-compliant on-board programming Design changes don t cause pinout changes Design changes don t cause timing

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 1.0 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

CoLinkEx JTAG/SWD adapter USER MANUAL

CoLinkEx JTAG/SWD adapter USER MANUAL CoLinkEx JTAG/SWD adapter USER MANUAL rev. A Website: www.bravekit.com Contents Introduction... 3 1. Features of CoLinkEX adapter:... 3 2. Elements of CoLinkEx programmer... 3 2.1. LEDs description....

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

High Performance Carry Chains for FPGAs

High Performance Carry Chains for FPGAs High Performance Carry Chains for FPGAs Matthew M. Hosler Department of Electrical and Computer Engineering Northwestern University Abstract Carry chains are an important consideration for most computations,

More information

Chapter 19 IEEE Test Access Port (JTAG)

Chapter 19 IEEE Test Access Port (JTAG) Chapter 9 IEEE 49. Test Access Port (JTAG) This chapter describes configuration and operation of the MCF537 JTAG test implementation. It describes the use of JTAG instructions and provides information

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

EVBUM2282/D. KLI-2113/KLI-8023 Image Sensors Evaluation Kit User's Manual EVAL BOARD USER S MANUAL OVERVIEW

EVBUM2282/D. KLI-2113/KLI-8023 Image Sensors Evaluation Kit User's Manual EVAL BOARD USER S MANUAL OVERVIEW KLI-2113/KLI-823 Image Sensors Evaluation Kit User's Manual Purpose, Scope The purpose of the KLI 2113/KLI 823 Evaluation Board is to allow ON Semiconductor customers to quickly and easily operate and

More information

TTC Interface Module for ATLAS Read-Out Electronics: Final production version based on Xilinx FPGA devices

TTC Interface Module for ATLAS Read-Out Electronics: Final production version based on Xilinx FPGA devices Physics & Astronomy HEP Electronics TTC Interface Module for ATLAS Read-Out Electronics: Final production version based on Xilinx FPGA devices LECC 2004 Matthew Warren warren@hep.ucl.ac.uk Jon Butterworth,

More information

Avoiding False Pass or False Fail

Avoiding False Pass or False Fail Avoiding False Pass or False Fail By Michael Smith, Teradyne, October 2012 There is an expectation from consumers that today s electronic products will just work and that electronic manufacturers have

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package October 2001, ver. 2.0 User Guide Introduction The University Program (UP) Design Laboratory Package was designed to meet the needs of universities teaching

More information

Achieving Timing Closure in ALTERA FPGAs

Achieving Timing Closure in ALTERA FPGAs Achieving Timing Closure in ALTERA FPGAs Course Description This course provides all necessary theoretical and practical know-how to write system timing constraints for variety designs in ALTERA FPGAs.

More information

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida Reconfigurable Architectures Greg Stitt ECE Department University of Florida How can hardware be reconfigurable? Problem: Can t change fabricated chip ASICs are fixed Solution: Create components that can

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

EXOSTIV TM. Frédéric Leens, CEO

EXOSTIV TM. Frédéric Leens, CEO EXOSTIV TM Frédéric Leens, CEO A simple case: a video processing platform Headers & controls per frame : 1.024 bits 2.048 pixels 1.024 lines Pixels per frame: 2 21 Pixel encoding : 36 bit Frame rate: 24

More information

ScanExpress JET. Combining JTAG Test with JTAG Emulation to Reduce Prototype Development Time. Ryan Jones Corelis, Inc. An EWA Technologies Company

ScanExpress JET. Combining JTAG Test with JTAG Emulation to Reduce Prototype Development Time. Ryan Jones Corelis, Inc. An EWA Technologies Company ScanExpress JET Combining JTAG Test with JTAG Emulation to Reduce Prototype Development Time Ryan Jones Corelis, Inc. An EWA Technologies Company What Is ScanExpress JET? A powerful combination of boundary-scan

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

JTAGcable II In Circuit Emulator for Atmel AVR microcontrollers. User s Guide REV 1.0. Many ideas one solution

JTAGcable II In Circuit Emulator for Atmel AVR microcontrollers. User s Guide REV 1.0. Many ideas one solution JTAGcable II In Circuit Emulator for Atmel AVR microcontrollers REV 1.0 User s Guide Evalu ation Board s for 51, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards Minimodules

More information

Document Part Number: Copyright 2010, Corelis Inc.

Document Part Number: Copyright 2010, Corelis Inc. CORELIS Low Voltage Adapter Low Voltage Adapter Boundary-Scan Interface User s Manual Document Part Number: 70398 Copyright 2010, Corelis Inc. Corelis, Inc. 12607 Hiddencreek Way Cerritos, CA 90703-2146

More information

ATF15xx-DK3 Development Kit... User Guide

ATF15xx-DK3 Development Kit... User Guide ATF15xx-DK3 Development Kit... User Guide Table of Contents Section 1 Introduction... 1-1 1.1 CPLD Development/ Programmer Kit...1-1 1.2 Kit Contents...1-1 1.3 Kit Features...1-1 1.3.1 CPLD Development/Programmer

More information

Spartan-IIE LC Development Board User s Guide

Spartan-IIE LC Development Board User s Guide Spartan-IIE LC Development Board User s Guide Version 1.0 March 2003 PN# DS-MANUAL-2SELC Memec Design Development Kit Owners Certificate Thank you for purchasing your Memec Design development kit. As an

More information

IMPROVED SIGNAL INTEGRITY IN EMBEDDED IEEE BOUNDARY-SCAN DESIGNS. Efren J. Taboada. A thesis submitted to the faculty of

IMPROVED SIGNAL INTEGRITY IN EMBEDDED IEEE BOUNDARY-SCAN DESIGNS. Efren J. Taboada. A thesis submitted to the faculty of IMPROVED SIGNAL INTEGRITY IN EMBEDDED IEEE 1149.1 BOUNDARY-SCAN DESIGNS by Efren J. Taboada A thesis submitted to the faculty of Brigham Young University in partial fulfillment of the requirements for

More information

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs features 4 balanced AES inputs Input Sample Rate Converters (SRC) 4 balanced AES outputs Relay bypass for pairs of I/Os Relay wait time after power up Master mode (clock master for the frame) 25pin Sub-D,

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

BSDL Validation: A Case Study

BSDL Validation: A Case Study ASSET InterTech, Inc. Validation: A Case Study Michael R. Johnson Sr. Applications Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting December 15, 2008 About The Presenter Michael

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

Image generator. Hardware Specification

Image generator. Hardware Specification Image generator [SVO-03] Rev. NetVision Co., Ltd. Update History Revision Date Note 2018/07/02 New File(Equivalent to Japanese version 1.2) S.Usuba i index 1. Outline... 1 1.1. features and specification

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

C ch optical MADI & AoIP I/O. MASTER mode: A C8000 frame may be clocked via MADI input or AES67 network. AoIP Dante Brooklin II OEM module

C ch optical MADI & AoIP I/O. MASTER mode: A C8000 frame may be clocked via MADI input or AES67 network. AoIP Dante Brooklin II OEM module features Interface for AoIP (AES67 or DANTE) Two AoIP network ports for redundant or switch operation MADI I/O connection Optical SFP module / LC connectors (multi mode or single mode fiber) BNC parallel

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Debugging IDT S-RIO Gen2 Switches Using RapidFET JTAG

Debugging IDT S-RIO Gen2 Switches Using RapidFET JTAG Titl Debugging IDT S-RIO Gen2 Switches Using RapidFET JTAG Application Note March 29, 2012 About this Document This document discusses common problems that are encountered when debugging with a board that

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...4 4. User Guide...4 4.1.

More information

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida CDA 4253 FPGA System Design FPGA Architectures Hao Zheng Dept of Comp Sci & Eng U of South Florida FPGAs Generic Architecture Also include common fixed logic blocks for higher performance: On-chip mem.

More information

CC-PC Gluecard Application and User's Guide

CC-PC Gluecard Application and User's Guide CC-PC Gluecard Application and User's Guide LHCb Technical Note Issue: Public Revision: 1.0 / LPHE 2005-010 Created: 25 June 2003 Last modified: 1 April 2004 Prepared By: Flavio Fontanelli, Beat Jost,

More information

PCB Test & Programming Solutions

PCB Test & Programming Solutions PCB Test & Programming Solutions from the IEEE 1149.1 Boundary-Scan Experts www.jtag.com Test and In-System Programming Solutions for Today s Problems Throughout the electronics industry, manufacturers

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information