L-CBF: A Low-Power, Fast Counting Bloom Filter Architecture

Size: px
Start display at page:

Download "L-CBF: A Low-Power, Fast Counting Bloom Filter Architecture"

Transcription

1 L-CBF: A Low-Power, Fast Coutig Bloom Filter Architecture Elham Safi, Adreas Moshovos, ad Adreas Veeris Electrical ad Computer Egieerig Departmet Uiversity of Toroto {elham, moshovos, veeris@eecg.utoroto.ca} ABSTRACT We study the eergy, latecy ad area characteristics of two Coutig Bloom Filter implemetatios usig full custom layouts i a commercial.3µm techology. The first implemetatio, S-CBF, uses a SRAM array of couts ad a shared couter. The secod, L-CBF, utilizes a array of up/dow liear feedback shift registers. Circuit level simulatios demostrate that for a K-etry CBF with a 5-bit cout per etry, L-CBF is 3.7 or.6 times faster tha the S-CBF depedig o the operatio. The L-CBF requires 2.3 or.4 times less eergy per operatio compared to the S-CBF. However, the L-CBF requires 3.2 times more area. We demostrate that for oe applicatio of CBFs (early hit/miss detectio for L caches [2] for a aggressive dyamicallyscheduled superscalar processor) the eergy cosumed by the L-CBF is 6% of the eergy cosumed by the S-CBF for most of the SPEC CPU 2 bechmarks. Categories ad Subject Descriptors B.7. Itegrated Circuits, C. Processor Architecture. Geeral Terms Desig, Measuremet, Performace, Experimetatio. Keywords Coutig Bloom Filters, Processors, Delay, Eergy per Operatio.. INTRODUCTION A icreasig umber of architectural techiques rely o hardware coutig bloom filters (CBFs) to improve upo the power, latecy ad complexity of various key processor structures. For example, CBFs have bee proposed to improve performace ad power i soop-coheret multiprocessor or multicore systems [8,9], to improve the scalability of load/store schedulig queues [] ad to reduce replays by assistig i early hit/miss determiatio at the L data cache [2]. I these proposals CBFs elimiate broadcasts over the itercoectio etwork i multiprocessor systems [8], or accesses to much larger Permissio to make digital or hard copies of all or part of this work for persoal or classroom use is grated without fee provided that copies are ot made or distributed for profit or commercial advatage ad that copies bear this otice ad the full citatio o the first page. To copy otherwise, or republish, to post o servers or to redistribute to lists, requires prior specific permissio ad/or a fee. ISLPED 6, October 4 6, 26, Tegersee, Germay. Copyright 26 ACM /6/...$5... ad thus much slower ad power-hugry cotet addressable memories [], or cache tag arrays [8,9,2]. I all aforemetioed hardware applicatios, the CBF improves the eergy ad latecy of membership tests (e.g., whether a memory block is curretly cached). It does so by providig a defiite aswer for most but ot all tests. Thus, the CBF does ot replace the uderlyig covetioal mechaism (e.g., cache tags). Istead, the CBF dyamically bypasses the covetioal mechaism as frequetly as possible. Accordigly, the beefits obtaied through the use of a CBF deped o how frequetly it ca be utilized ad o the CBF s eergy ad latecy characteristics. The more tests are serviced by the CBF aloe ad the lower the power ad latecy of the CBF, the higher the beefits. Architectural techiques ad applicatio behavior determie how may tests ca be serviced by the CBF. I this work we are oly cocered with implemetatios of CBFs that improve o eergy ad latecy. Coceptually, a CBF is a array of couts for which three operatios are defied: icremet by oe, decremet by oe, ad test if zero. We will refer to the first two operatios as updates ad to the third as a probe. Previous work assumed a straightforward SRAM-based implemetatio which we will refer to as S-CBF (see Sectio 2.). I this work we ivestigate the eergy, latecy ad area of this implemetatio i a commercial.3 µm CMOS techology. However, the key cotributio of this work is L-CBF, a ovel implemetatio of CBFs that relies o up/dow liear feedback shift registers (LFSRs). We demostrate that this implemetatio is sigificatly faster, ad that it requires sigificatly less eergy tha the S-CBF implemetatio. Usig architecture level simulatio of most of the SPEC CPU 2 programs we demostrate that L-CBF ca sigificatly reduce power for the early detectio of L data cache misses [2]. I more detail, the cotributios of this work are as follows: L-CBF, a LFSR-based coutig bloom filter architecture, is proposed. The eergy, latecy ad area of L-CBF ad S-CBF are compared usig their circuit level implemetatio ad full-custom layouts i.3µm fabricatio techology. The relative eergy dissipatio of L-CBF ad S-CBF is compared for the early detectio of L data cache misses for most SPEC CPU 2 programs [2].

2 To the best of our kowledge this is the first work that ivestigates the eergy, latecy ad area of full-custom implemetatios of CBFs usig a commercial fabricatio techology. The idea of usig LFSRs for the desig of CBF has bee proposed before but o desig or evaluatio of its characteristics was reported [8]. The rest of this paper is orgaized as follows. Sectio 2 reviews CBFs ad the previously assumed S-CBF implemetatio. Sectio 2.2 presets the L-CBF desig. Sectio 3 demostrates the experimetal results. Sectio 4 summarizes our fidigs. 2. COUNTING BLOOM FILTERS Without the loss of geerality, we restrict our attetio to utilizig CBFs for the early detectio of L data cache misses [2]. The cocepts ad implemetatios preseted are directly applicable to other CBF applicatios. I this applicatio, the CBF determies whether a particular block of memory is curretly cached i the L data cache. Give a block address A, the CBF reports whether A appears i ay of the tags of the data cache. The CBF provides two possible aswers: () o, the block is defiitely ot cached, ad (2) ukow, may be the block is cached. I the first case, we determie that A is ot cached ad hece this access will result i a miss. Provided that the CBF is much faster ad dissipates much less power tha the L tag arrays, we maage to obtai the desired aswer much faster ad to save power. I the secod case, the CBF caot provide a defiite aswer ad thus we do have to access the L tags. I this case, we icur a power pealty sice we had to also access the CBF. We may also icur a latecy pealty if the CBF ad the L tag accesses are serialized (we may avoid this latecy pealty if we probe the CBF ad the L tags i parallel, i which case power beefits will be possible oly if we ca termiate the L tag access i progress whe the CBF provides a defiite aswer). As show i Figure, the CBF ca be thought of as a array of couts that is idexed via a hash fuctio of the address A, ad where three operatios are defied: () icremet cout, (2) decremet cout, ad (3) test if cout is zero, or probe. The first two operatios icremet or decremet the correspodig cout by oe, while the probe operatio tests if the cout is zero ad returs true or false (sigle bit output). Simply usig a portio of the address ad ot a more elaborate hash fuctio has bee show to work well [8,2]. Figure 2: S-CBF architecture: A SRAM holds the CBF couts ad updates are implemeted as read-modify-write sequeces. Iitially, all CBF couts are zero ad the L is empty. Whe a block is allocated ito the L, the correspodig CBF etry is icremeted by oe. Whe a block is evicted from the L, the correspodig CBF etry is decremeted by oe. To test whether A curretly exists i the L, we ispect the correspodig CBF cout. If the cout is zero the A is defiitely ot i the L sice we would have icremeted the cout the momet it was cached. If the cout is o-zero the it is ukow whether A is cached. Sice may blocks ca map oto the same CBF cout, it is possible that some other cache block icremeted the cout. Therefore, i this case we eed to check the L tags to determie whether A is cached. It is for the latter reaso that a CBF is a imprecise represetatio of the cached blocks. Specifically, the CBF represets a superset of the cached blocks. A CBF is characterized by the umber of etries it cotais ad the width of the cout of each etry. Multiple CBFs with differet hash fuctios ca be used to improve accuracy [9,2]. I additio, cout values are bouded. Sice the same cout etry is icremeted ad decremeted o a block s allocatio ad evictio respectively, a cout ca ever become egative ad ca ever exceed the umber of the total cache blocks. 2. S-CBF: SRAM-Based CBF Previous work assumed a straightforward CBF implemetatio comprisig a SRAM array to hold the couts, a shared up/dow couter, a zero-comparator ad a small cotroller [9]. This implemetatio is show i Figure 2. Updates are implemeted as read-modify-write sequeces as follows: () the cout is read from the SRAM, (2) it is adjusted usig the couter, ad (3) it is writte back to the SRAM. The probe operatio is implemeted as a read from the SRAM ad the a compariso with zero usig \ Figure : A CBF for cache block address membership test. Ideally, a separate etry would exist for every possible block address A. However, this would result i a prohibitively large table (e.g., a table with 32 millio etries for a processor with a 4Gbyte address space ad 32-byte cache blocks) ad would egate ay beefits. Accordigly, a small table is used ad addresses are hashed oto the table. Hece multiple addresses may map oto the same table etry.

3 the zero-comparator. A small cotroller coordiates all actios ecessary to implemet these CBF operatios. A optimizatio was proposed to speedup probe operatios ad to reduce their power [9]. Specifically, a extra bit, Z, is added to each cout. Whe the cout is icremeted from zero the Z is set to false ad whe the cout is decremeted to zero the Z is set to true. Probes ca ow simply ispect Z. The Z-bits ca be implemeted as a separate SRAM structure which is faster ad requires much less power. This optimizatio ca be applied to both the S-CBF ad the L-CBF. 2.2 L-CBF As we demostrate i Sectio 3, much of the eergy i S-CBF is cosumed o the bitlies ad wordlies. Latecy ad eergy suffers also because updates require two SRAM accesses per operatio. Fially, the shared couter further icreases eergy ad latecy. We could avoid accesses over log bitlies by buildig a array of up/dow couters. The, that would elimiate the eed to read the value of each couter ad updates would be localized. Ufortuately, up/dow arithmetic couters require may gates ad are slow []. We make the followig two observatios: () the actual cout sequece used i a CBF is ot importat, ad (2) exterally, we oly care whether a cout is zero or o-zero. The L-CBF exploits these two properties to offer the beefits that are possible with a array of up/dow couters while avoidig the overheads associated with usig arithmetic couters. L-CBF uses up/dow LFSRs which offer a better latecy, power ad complexity trade-off tha other o-arithmetic couters. As we demostrate i Sectio 3, L-CBF sigificatly reduces eergy ad latecy compared to S-CBF albeit at the expese of icreased area. However, this is a mior cocer i moder processor desigs for two reasos: () there is a abudace of resources, ad (2) the CBF is tiy compared to most other processor structures (e.g., caches ad brach predictors). It is ulikely that the same resources could improve performace if applied to other processor structures that are already much larger ad optimized. I the rest of this sectio, we review LFSRs, the costructio of up/dow or reversible LFSR couters, ad preset the orgaizatio of L-CBF Liear Feedback Shift Registers I this sectio, we review LFSRs ad the costructio of up/dow LFSR couters. A maximum-legth -bit LFSR couter sequeces through 2 - states. Without the loss of geerality we restrict our attetio to the Galois cofiguratio of LFSRs []. Figure 3 shows a maximum-legth 8-bit LFSR. The LFSR comprises a shift register ad a few XNOR gates. Each bit of the shift register is either shifted as-is to the ext bit (o tap) or is XNORed with the output of bit 7 (tap). By appropriately selectig Figure 3: A 8-bit maximum-legth LFSR. M U X Up Dow Coutig C: CLK R: RESET Q M Q M D Q DX U DX U X 2 C R X C R X C R Normal LFSR: D = Q2 D = Q D2=Q XNOR Q2 g(x) = + X 2 + X 3 the tap locatios it is always possible to build a maximum-legth LFSR of ay width that has either two or four taps [,5]. Furthermore, igorig wire legth delays ad the fa-out of the feedback lie, the delay of the maximum-legth LFSR is idepedet of its size []. As we show i Sectio 3.2, latecy icreases oly slightly as the umber of bits icreases, primarily as a result of icreased capacitace o the cotrol lies. The tap locatios for a maximum-legth -bit LFSR ca be represeted as a primitive polyomial g(x). Figure 3 shows a example of such a polyomial. I geeral, a LFSR ca be expressed as: i g ( x) = Ci X ( C = C i= = ) where X i correspods to the output of the i-th bit of the shift register ad the costats C i are either (o tap) or (tap). This formula represets a ui-directioal ( up ) LFSR. If the primitive polyomial for a maximum-legth -bit LFSR is g(x) (as defied by the precedig formula), the the primitive polyomial h(x) of a LFSR that geerates the reverse sequece is [5]: i h ( x) = C i X ( C = C i= Iverse LFSR: D = Q D = Q2 XNOR Q D2 = Q h(x) = + X + X 3 Figure 4: A 3-bit maximum-legth up/dow LFSR. = ) Up/dow The superpositio of the two LFSRs (the origial ad its reverse) forms a reversible ( up/dow ) LFSR. This reversible LFSR ca be implemeted usig the same shift register, a 2-to- multiplexer per bit to cotrol the directio of the shift ad several XNOR gates. Figure 4 shows the costructio of a 3-bit up/dow LFSR. I geeral, it is possible to costruct a maximum-legth up/dow LFSR of ay width with either two or six XNOR gates (i.e., four or eight taps) L-CBF Implemetatio Figure 5 shows the high level orgaizatio of the L-CBF. The L-CBF icludes a hierarchical decoder ad several partitios each cotaiig a array of up/dow LFSRs. I each partitio there is a local zero detector per LFSR couter. A hierarchical multiplexer collects these local is-zero sigals ad provides the sigle is-zero output. The L-CBF accepts three iputs ad produces a sigle output is-zero. The 2-bit iput operatio ecodes ay of the three possible operatios or oe. The address lies are used to specify the address i questio ad the reset sigal is used to iitialize all LFSRs to the zero state. A exteral clock source

4 Figure 5 : L-CBF architecture. is eeded. The LFSRs use two o-overlappig phase clocks that are geerated iterally from the exteral clock sigal. We use a hierarchical decoder for decodig the address to miimize the eergy ad delay [2]. The decoder cosists of a pre-decodig stage, a global decoder that selects the appropriate partitio, ad a set of local decoders, oe per partitio. Each partitio cotais a array of up/dow LFSRs. Each row i each partitio cotais a up/dow LFSR ad its zero detector. Fially, we use a hierarchical multiplexer for selectig the appropriate zero-detector output for the is-zero operatio. Figure 6 shows the basic cells we used to implemet each LFSR ad the zerodetector. Show are the flip-flop for the shift register cells, the multiplexers that cotrol the directio of chage ( up / dow ), the XNOR gate, ad a bit-slice of the zero-detector. Due to space limitatios we do ot provide additioal details o the L-CBF implemetatio. 3. EXPERIMENTAL RESULTS I this sectio, we compare the eergy cosumptio, delay, ad area of the S-CBF ad L-CBF implemetatios. We first compare the desigs o a per operatio basis ad the report eergy savigs with L-CBF over S-CBF for L hit/miss detectio usig architectural simulatio of several SPEC 2 bechmarks. We implemeted all desigs usig Cadece(R) tools i a commercial.3µm fabricatio techology. We did ot use a automated process to geerate the desigs. Istead, we used full-custom desig ad attempted to optimize the eergy ad latecy of both desigs as much as possible. We used the Spectre simulator for circuit simulatios. This is the vedor recommeded simulator for desig validatio prior to maufacturig. The rest of this sectio is orgaized as follows. We iitially cosider a K-etry CBF with 5-bit etries as it is represetative of the CBFs used i previous proposals [9,2]. I Sectio 3. we compare the eergy, delay ad area of the two desigs for each of the three operatios (icremet, decremet ad probe). I Sectios 3.2 we study how eergy ad delay chage as we vary the umber of etries, the width of the couters ad the umber of taps. I Sectio 3.3 we demostrate that L-CBF ca reduce eergy to 6% compared to S-CBF whe used for early L cache hit/miss determiatio. 3. Delay ad Eergy per Operatio We compare implemetatios of a K-etry, 5-bit cout per etry CBF. For S-CBF, we use a SRAM with a total capacity of 5Kbits. We partitioed the SRAM i order to miimize its power/delay product. For the S-CBF we do ot cosider the delay ad eergy overhead of the shared couter sice our goal is to demostrate that the L-CBF cosumes less eergy ad it is also faster. To further reduce eergy for probes i the S-CBF desig, we itroduce a extra bit per etry which is updated oly whe the cout chages from or to zero as described i Sectio 2. (Z-bits). O a probe, we oly read this bit. Furthermore we applied a umber of latecy ad power optimizatios o the S-CBF [2,3,7,4]. The Divided Word Lie (DWL) techique which adopts a two-stage hierarchical row decoder structure was used to improve speed ad power [3,7]. Power was further reduced via pulse operatio techiques for the word-lies, the periphery circuits ad the sese amplifiers [7]. We also used multi-stage static CMOS decodig [4] ad curret-mode read ad write operatios for further power reductio [7]. For the L-CBF implemetatio we use 6-bit LFSRs so that the LFSR ca cout at least 2 5 values. Table shows the delay i picosecods, the eergy (static ad dyamic) per operatio i picojoules ad the area i square micrometers for both the L-CBF ad the S-CBF. The last colum reports the ratio of S-CBR over L-CBF per metric. We report two rows per category, oe for the update ad oe for the probe operatio. For delay ad eergy we report the worst case which we measured selectig appropriate iput vectors. Give that we do ot cosider the overhead (latecy ad eergy) of the shared couter, the measuremets for the S-CBF are optimistic. Table. Eergy, delay ad area of the S-CBF ad L-CBF implemetatios of a K-etry, 5-bit CBF. Figure 6: The cells used to implemet each up/dow LFSR: (a) the two-phase flip-flop (b) the 2-to- mux (c) XNOR gate, (d) a bit-slice of the embedded zero detector. Delay (ps) Eergy (pj) Operatio L-CBF S-CBF S-CBF/ L-CBF ic/dec probe ic/dec probe Area (um 2 )

5 Memory Core 79% Decoder SA 7% 9% 5% Others S-CBF 74% 7% Memory Core Decoder 2% SA Others The L-CBF is 3.7 ad.6 times faster tha the S-CBF durig updates ad probes respectively. I additio, the L-CBF cosumes 2.3 ad.4 times less eergy compared to the S-CBF for updates ad probes respectively. These sigificat gais i speed ad eergy cosumptio come at the expese of icreased area. The L-CBF is about 3.2 times larger tha the S-CBF. As metioed i Sectio 2.2 this is less of a cocer i moder processor desigs. Figure 7 shows a per compoet breakdow of eergy cosumptio for the two desigs ad for the two operatio categories. For the S-CBF, we ca observe that most of the eergy (79% ad 74% respectively for updates ad probes) is cosumed by the memory core (worldlies, bitlies ad SRAM cells). The decoder ad the sese-amplifiers cosume cosiderably less eergy. This is expected as we applied aggressive eergy ad latecy optimizatios to these compoets. Fially, a small percetage of the overall eergy is cosumed by peripheral circuitry such as the precharge ad write logic. For the update operatios of the L-CBF, 2% of the total power is dissipated due to the leakage i the zero-detectors that are iactive durig updates. Same reasoig applies to 33% of the total power that is dissipated i the iactive parts durig the probe. 3.2 Sesitivity Aalysis Thus far we have focused o a specific CBF. I this sectio we vary the umber of etries ad the width of the couts. Figure 8 reports the eergy per operatio for CBFs of 64 through K etries i power of two steps. We observe that the L-CBF always cosumes less eergy tha the S-CBF ad that the relative differece icreases slightly for larger etry couts. Figure 9 reports the eergy per operatio as a fuctio of cout width i the rage of 4 to 6 bits. I this experimet we limit our attetio to a 64-etry CBF. Alog the L-CBF measuremets we also report the umber of taps eeded by each cout width (either four or eight). We observe that L-CBF s eergy scales better tha S-CBF s. L-CBF eergy icreases slightly for wider couts. Commuicatio i the L-CBF is primarily betwee adjacet cells. For this reaso, icreasig the umber of cells does ot impact overall eergy sigificatly. S-CBF s eergy icreases at a greater rate because additioal bitlies ad sese amplifiers are itroduced ad to a lesser extet because the wordlies become 7% LFSRs Decoder & 65% 33% 2% Row Drivers & mux Zero Detectors L-CBF LFSRs Decoder & 57% 33% 2% Row Drivers & mux Zero Detectors Figure 7: Per compoet eergy cosumptio for the S-CBF ad the L-CBF desigs. Two sets of results are show per desig, oe for the update operatios (Ic/Dec) ad oe for the probe operatio. Eergy per Operatio (Pj) 9 L-CBF(INC/DEC) 8 S-CBF(INC/DEC) 7 L-CBF(Probe) 6 S-CBF(Probe) # of row s Figure 8: Eergy per operatio as a fuctio of etry cout for L-CBF ad S-CBF for 5-bit couts. Eergy Per Operatio (pj) probe(l-bcbf) ic/dec(l-bcbf) ic/de c(s-bcbf) probe(s-bcbf) Couter Size Figure 9: Eergy per operatio as a fuctio of cout width for a 64-etry CBF. loger. As it ca be see i Figure 9 chagig the umber of taps i the L-CBF does ot sigificatly impact eergy. 3.3 Eergy Savigs for Early Hit/Miss Detectio Fially, we demostrate that L-CBF ca reduce eergy sigificatly compared to S-CBF for a practical applicatio. We cosider early L data cache hit/miss detectio as proposed by Peir et. al. [2]. Early hit/miss detectio ca sigificatly reduce the umber of istructio schedulig replays due to L data cache misses ad hece improve performace. We used Simplescalar v3. [6] to simulate the processor detailed i Table 2. We compiled the SPEC CPU 2 bechmarks for the Alpha 2264 architecture usig HP s compilers ad for the Digital Uix V4.F usig the SPEC suggested default flags for peak optimizatio. We used a referece iput data set all the bechmarks. To obtai reasoable simulatio times, samples were take for five billio committed istructios per bechmark. We skipped billio committed istructios prior to collectig

6 measuremets for all bechmarks except for art ad parser for which we oly skipped 2 billio istructios. We simulate a 52-etry CBF with -bit couts. The CBF is idexed usig ie cotiuous address bits startig immediately after the last bit that is used as a offset withi a cache block. Figure shows the ratio of the eergy cosumed by the L-CBF over the eergy cosumed by the S-CBF for this applicatio. A breakdow also i terms of updates ad probes is show. Overall, L-CBF reduces eergy by about 4%. Should a larger CBF was used; the eergy savigs would be higher. Moreover, i this experimet we do ot cosider ay eergy savigs that would be possible by voltage scalig i the L-CBF. Because the L-CBF is faster tha the S-CBF it may be possible to reduce power further by scalig its voltage supply. Eergy(L-CBF/S-CBF) Table 2. Base processor cofiguratio Brach Predictor Fetch Uit 8K-etry GShare ad 8K-etry bi-modal 6K selector 2 braches per cycle Issue/Decode/Commit ay /8 istr. per cycle FU Latecies Default simplescalar values LD/LI Geometry 64KBytes, 4-way set-associative with 64-byte blocks Probe Up to 8 istr. per cycle 64-etry Fetch Buffer No-blockig I-Cache Scheduler 28-etry 64-etry LSQ Mai Memory Ifiite, 2 cycles UL2 Geometry 2Mbytes, 8-way set-associative with 64-byte blocks Cache Replacemet LD/LI/L2 Latecies 3/3/6 cycles LRU Fetch/Decode/Commit Latecies 4 cycles + cache latecy for fetch INC/DEC gzip wupw swim mgrid applu vpr gcc mesa galgel art mcf equak crafty facere ammp lucas fma3d parse eo gap vortex bzip2 twolf apsi Figure : Eergy ratio of L-CBF over S-CBF for a 52-etry, -bit cout CBF for early L data cache hit/miss detectio. 4. SUMMARY We preseted two desigs of CBFs, oe based o a SRAM array of couts ad oe based o a array of liear feedback shift register couters. We evaluated the eergy, latecy ad area of the two implemetatios of CBFs usig a commercial semicoductor techology. Fially, we studied eergy cosumptio for a practical applicatio of CBFs usig architectural simulatio. The LFSR-based CBF desig is superior to the SRAM-based CBF desig i both latecy ad eergy at the expese of more area. ACKNOWLEDGMENTS We would like to thak Farid Najm ad the aoymous reviewers for their commets. We are grateful to.moha..mmad. Haji.rostam for his assistace i circuit desig ad simulatios ad Navid. Azizi.for his help with SRAM desig. This work was supported by a NSERC Discovery Grat, a Caada Foudatio for Iovatio Equipmet Grat, a Itel Research Coucil Grat ad by Semicoductor Research Corporatio uder cotract #9.. REFERENCES [] P. Alfke, Efficiet Shift Registers, LFSR Couters, ad Log Pseudo-Radom Sequece Geerators, Xilix, Applicatio Note 52, Jul [2] B. S. Amrutur ad M. A. Horowitz, Fast Low-Power Decoders for RAMs, IEEE Joural of Solid-State Circuits, 36():56-55, Oct. 2. [3] B. S. Amrutur, Desig ad Aalysis of Fast Low Power SRAMs, Ph.D. dissertatio, Electrical Egieerig Departmet, Staford Uiversity, 999. [4] B. S. Amrutur ad M. A. Horowitz, Speed ad Power Scalig of SRAM's, IEEE Joural of Solid-State Circuits, 35(2):75-85, Feb. 2. [5] P. H. Bardell, W. H. McAey, ad J. Savir, Built-I Test for VLSI: Pseudoradom Techiques, Joh Wiley & Sos, Ic., 987. [6] D. Burger ad T. Austi. The Simplescalar Tool Set v2., Techical Report UW-CS , Computer Scieces Departmet, Uiversity of Wiscosi-Madiso, Ju [7] M. Margala, Low-power SRAM Circuit Desig, I Proc. of IEEE Workshop o Memory Techology, Desig ad Testig, 5-22, Aug [8] A. Moshovos, RegioScout: Exploitig Coarse-Grai Sharig i Soop-Coherece, I Proc. Aual Iteratioal Symposium o Computer Architecture, Ju. 25. [9] A. Moshovos, G. Memik, B. Falsafi, ad A. Choudhary, Jetty: Filterig Soops for Reduced Eergy Cosumptio i SMP Servers, I Proc. of the Aual Iteratioal Coferece o High-Performace Computer Architecture, 85 96, Feb. 2. [] S. Sethumadhava, R. Desika, D. Burger, C.R. Moore, S.W. Keckler, Scalable Hardware Memory Disambiguatio for High-ILP Processors, IEEE Micro, 24(6):8-27, Nov. 24. [] M. R Sta, Sychroous Up/Dow Couter with Clock Period Idepedet of Couter Size, I Proc. IEEE Symposium o Computer Arithmetic, , Jul [2] J. K. Peir, S.C. Lai, S.L. Lu, J. Stark, ad K. Lai, Bloom Filterig Cache Misses for Accurate Data Speculatio ad Prefetchig, I Proc. Aual Iteratioal Coferece o Supercomputig, Ju. 22.

Chapter 7 Registers and Register Transfers

Chapter 7 Registers and Register Transfers Logic ad Computer Desig Fudametals Chapter 7 Registers ad Register Trasfers Part 2 Couters, Register Cells, Buses, & Serial Operatios Charles Kime & Thomas Kamiski 28 Pearso Educatio, Ic (Hyperliks are

More information

Energy-Efficient FPGA-Based Parallel Quasi-Stochastic Computing

Energy-Efficient FPGA-Based Parallel Quasi-Stochastic Computing Article Eergy-Efficiet FPGA-Based Parallel Quasi-Stochastic Computig Ramu Seva, Prashathi Metku * ad Misu Choi Departmet of Computer Egieerig, Missouri Uiversity of Sciece & Techology, 4 Emerso Electric

More information

Read Only Memory (ROM)

Read Only Memory (ROM) ECE 545 igital System esig with VHL Lecture A igital Logic Reresher Part A Combiatioal Logic Buildig Blocks Cot. Problem 2 What is a size o ROM with a 4-bit address iput ad a 8-bit data output? What is

More information

EE260: Digital Design, Spring /3/18. n Combinational Logic: n Output depends only on current input. n Require cascading of many structures

EE260: Digital Design, Spring /3/18. n Combinational Logic: n Output depends only on current input. n Require cascading of many structures EE260: igital esig, prig 208 4/3/8 EE 260: Itroductio to igital esig equetial Logic Elemets ao Zheg epartmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa equetial ircuits ombiatioal Logic: Output

More information

Logistics We are here. If you cannot login to MarkUs, me your UTORID and name.

Logistics We are here. If you cannot login to MarkUs,  me your UTORID and name. Logistics We are here 8 Week If you caot logi to arkus, email me your UTORID ad ame. heck lab marks o arkus, if it s recorded wrog, cotact Larry withi a week after the lab. Quiz average: 8% Assembly Laguage

More information

What Does it Take to Build a Complete Test Flow for 3-D IC?

What Does it Take to Build a Complete Test Flow for 3-D IC? What Does it Take to Build a Complete Test Flow for 3-D IC? Brio Keller, Bassilios Petrakis, Cadece Thaks to : Sadeep Goel, TSMC EDPS, Moterey, CA April 5-6, 202 Ackowledgemets TSMC Ashok Mehta imec Erik

More information

L-CBF: A Low-Power, Fast Counting Bloom Filter Architecture

L-CBF: A Low-Power, Fast Counting Bloom Filter Architecture TVLSI-0003-007.R1 1 L-CBF: A Low-Power, Fast Counting Bloom Filter Architecture Elham Safi, Andreas Moshovos, and Andreas Veneris Abstract An increasing number of architectural techniques rely on hardware

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Buildig Block Circuit Rather tha buildig ytem at the gate level, ofte digital ytem are cotructed from higher level, but till baic, buildig block circuit. Multiplexer, decoder, flip-flop,

More information

Line numbering and synchronization in digital HDTV systems

Line numbering and synchronization in digital HDTV systems Lie umberig ad sychroizatio i digital HDTV systems D. (VURT) I cotrast to aalogue televisio systems where lie umberig is covetioally liked to the vertical sychroizatio, digital televisio offers the possibility

More information

Reliable Transmission Control Scheme Based on FEC Sensing and Adaptive MIMO for Mobile Internet of Things

Reliable Transmission Control Scheme Based on FEC Sensing and Adaptive MIMO for Mobile Internet of Things Joural of Commuicatios Vol. 9, No., December 04 Reliable Trasmissio Cotrol Scheme Based o FEC Sesig ad Adaptive MIMO for Mobile Iteret of Thigs Yog Ji, Feg Li, Ya Fa, Ruigag Li, ad Hua Dai School of Computer

More information

Quality improvement in measurement channel including of ADC under operation conditions

Quality improvement in measurement channel including of ADC under operation conditions Quality improvemet i measuremet chael icludig of ADC uder operatio coditios 1 Romuald MASNICKI, 2 Jausz MINDYKOWSKI 1, 2 Gdyia Maritime iversity, ul. Morska 81-83, 81-225 Gdyia, POLAND, tel. (+48 58) 6109

More information

PROBABILITY AND STATISTICS Vol. I - Ergodic Properties of Stationary, Markov, and Regenerative Processes - Karl Grill

PROBABILITY AND STATISTICS Vol. I - Ergodic Properties of Stationary, Markov, and Regenerative Processes - Karl Grill PROBABILITY AND STATISTICS Vol. I Ergodic Properties of Statioary, Markov, ad Regeerative Processes Karl Grill ERGODIC PROPERTIES OF STATIONARY, MARKOV, AND REGENERATIVE PROCESSES Karl Grill Istitut für

More information

THE Internet of Things (IoT) is likely to be incorporated

THE Internet of Things (IoT) is likely to be incorporated This is the author's versio of a article that has bee published i this oural. Chages were made to this versio by the publisher prior to publicatio. IEEE INTERNET OF THINGS JOURNAL, VOL. 5, NO. 1, FEBRUARY

More information

Voice Security Selection Guide

Voice Security Selection Guide Voice Security Selectio Guide Hoppig Code Voice Iversio with ANI Voice Security Frequecy Domai Split-bad Voice Iversio Double Iversio Radio Ecryptio Rollig Code Voice Scramblers Rollig Double Iversio Frequetly

More information

Randomness Analysis of Pseudorandom Bit Sequences

Randomness Analysis of Pseudorandom Bit Sequences 2009 Iteratioal Coferece o Computer Egieerig ad Applicatios IPCSIT vol.2 (2011) (2011) IACSIT Press, Sigapore Radomess Aalysis of Pseudoradom Bit Sequeces Rashidah Kadir 1+ ad Mohd Aizaii Maarof Faculty

More information

Polychrome Devices Reference Manual

Polychrome Devices Reference Manual Polychrome Devices Referece Maual Improvisio, Viscout Cetre II, Uiversity of Warwick Sciece Park, Millbur Hill Road, Covetry. CV4 7HS Tel: 0044 (0) 24 7669 2229 Fax: 0044 (0) 24 7669 0091 e-mail: admi@improvisio.com

More information

CCTV that s light years ahead

CCTV that s light years ahead CCTV that s light years ahead Video multiplexer, digital recorder, dome cotrol, audio ad more? all i oe box! The Digital Sprite 2 ad DS2 Plus are high performace, cost-effective digital CCTV cotrol systems.

More information

RELIABILITY EVALUATION OF REPAIRABLE COMPLEX SYSTEMS AN ANALYZING FAILURE DATA

RELIABILITY EVALUATION OF REPAIRABLE COMPLEX SYSTEMS AN ANALYZING FAILURE DATA It. J. Mech. Eg. & Rob. Res. 2013 G Gurumahesh et al., 2013 Research Paper ISSN 2278 0149 www.ijmerr.com Vol. 2, No. 1, Jauary 2013 2013 IJMERR. All Rights Reserved RELIABILITY EVALUATION OF REPAIRABLE

More information

Image Intensifier Reference Manual

Image Intensifier Reference Manual Image Itesifier Referece Maual Improvisio, Viscout Cetre II, Uiversity of Warwick Sciece Park, Millbur Hill Road, Covetry. CV4 7HS Tel: 0044 (0) 24 7669 2229 Fax: 0044 (0) 24 7669 0091 e-mail: admi@improvisio.com

More information

References and quotations

References and quotations CHAPTER 1.8 Refereces ad quotatios Academic writig depeds o the research ad ideas of others, so it is vital to show which sources you have used i your work, i a acceptable maer. This uit explais the format

More information

A Backlight Optimization Scheme for Video Playback on Mobile Devices

A Backlight Optimization Scheme for Video Playback on Mobile Devices A Backlight Optimizatio Scheme for Playback o Mobile Devices Liag Cheg, Shivajit Mohapatra, Magda El Zarki, Nikil Dutt ad Nalii Vekatasubramaia Doald Bre School of Iformatio ad Computer Scieces Uiversity

More information

A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Test Pattern Generator and Multi-Input Shift Register (MISR)

A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Test Pattern Generator and Multi-Input Shift Register (MISR) A Simulatio Experimet o a Built-I Self Test Equipped with Pseudoradom Test Patter Geerator ad Multi-Iput Shift Register (MISR) Afaq Ahmad Departmet of Electrical ad Computer Egieerig College of Egieerig,

More information

NewBlot PVDF 5X Stripping Buffer

NewBlot PVDF 5X Stripping Buffer NewBlot PVDF 5X Strippig Buffer Developed for: Odyssey Family of Imagers Please refer to your maual to cofirm that this protocol is appropriate for the applicatios compatible with your model of Odyssey

More information

Forces: Calculating Them, and Using Them Shobhana Narasimhan JNCASR, Bangalore, India

Forces: Calculating Them, and Using Them Shobhana Narasimhan JNCASR, Bangalore, India Forces: Calculatig Them, ad Usig Them Shobhaa Narasimha JNCASR, Bagalore, Idia shobhaa@jcasr.ac.i Shobhaa Narasimha, JNCASR 1 Outlie Forces ad the Hellma-Feyma Theorem Stress Techiques for miimizig a fuctio

More information

PowerStrip Automatic Cut & Strip Machine

PowerStrip Automatic Cut & Strip Machine Automatic Cut & Strip Machie 2 Fully automatic wire processig requires precisio techology tailored to your specific eeds. The combies the utmost i precisio ad performace which cover a ubeatable rage of

More information

2 Specialty Application Photoelectric Sensors

2 Specialty Application Photoelectric Sensors SMARTEYE STEALTH-UV UVS Aalog/Digital Lumiescece Sesor 2 Specialty Applicatio Photoelectric Sesors 2-93 Specialty Applicatio Photoelectric Sesors 2 SMARTEYE STEALTH-UV UVS Aalog/Digital Lumiescece Sesor

More information

Mullard INDUCTOR POT CORE EQUIVALENTS LIST. Mullard Limited, Mullard House, Torrington Place, London Wel 7HD. Telephone:

Mullard INDUCTOR POT CORE EQUIVALENTS LIST. Mullard Limited, Mullard House, Torrington Place, London Wel 7HD. Telephone: Mullard INDUCTOR POT CORE EQUIVALENTS LIST Mullard Limited, Mullard House, Torrigto Place, Lodo Wel 7HD. Telephoe: 01-580 6633 INDUCTOR POT CORE EQUIVALENTS LIST Mullard Limited have bee maufacturig ferrite

More information

The new, parametrised VS Model for Determining the Quality of Video Streams in the Video-telephony Service

The new, parametrised VS Model for Determining the Quality of Video Streams in the Video-telephony Service 1 Stefa Paulse Istitute of Commuicatios Techology Flesburg Uiversity of Applied Scieces tadeus.uhl@fh-flesburg.de Tadeus Uhl Istitute of Commuicatios Techology Flesburg Uiversity of Applied Scieces tadeus.uhl@fh-flesburg.de

More information

CODE GENERATION FOR WIDEBAND CDMA

CODE GENERATION FOR WIDEBAND CDMA ST JOURAL OF SYSTEM RESEARCH - VOL1 - UMBER 1 CODE GEERATIO FOR WIDEBAD CDMA Daiele Lo Iacoo Ettore Messia Giuseppe Avelloe Agostio Galluzzo Fracesco Pappalardo STMicroelectroics This paper presets a overview

More information

Motivation. Analysis-and-manipulation approach to pitch and duration of musical instrument sounds without distorting timbral characteristics

Motivation. Analysis-and-manipulation approach to pitch and duration of musical instrument sounds without distorting timbral characteristics Aalysis-ad-maipulatio approach to pitch ad duratio of musical istrumet souds without distortig timbral characteristics Takehiro Abe Katsutoshi Itoyama Kazuyoshi Yoshii Kazuori Komatai Tetsuya Ogata Hiroshi

More information

The Communication Method of Distance Education System and Sound Control Characteristics

The Communication Method of Distance Education System and Sound Control Characteristics 36 IJCSNS Iteratioal Joural of Computer Sciece ad Network Security, VO.6 No.7A, July 006 The Commuicatio ethod of Distace Educatio System ad Soud Cotrol Characteristics aabu Ishihara, Departmet of Electrical

More information

Manual RCA-1. Item no fold RailCom display. tams elektronik. n n n

Manual RCA-1. Item no fold RailCom display. tams elektronik. n n n Maual RCA-1 Item o. 45-02016 1-fold RailCom display Eglish RCA-1 Table of cotets 1. Gettig started...3 2. Safety istructios...5 3. Backgroud iformatio: RailCom...6 4. Operatig mode of the RCA-1...8 5.

More information

Research Article Measurements and Analysis of Secondary User Device Effects on Digital Television Receivers

Research Article Measurements and Analysis of Secondary User Device Effects on Digital Television Receivers Hidawi Publishig Corporatio EURASIP Joural o Advaces i Sigal Processig Volume 2009, Article ID 510867, 13 pages doi:10.1155/2009/510867 Research Article Measuremets ad Aalysis of Secodary User Device Effects

More information

Working with PlasmaWipe Effects

Working with PlasmaWipe Effects Workig with PlasmaWipe Effects Workig with PlasmaWipe Effects PlasmaWipe effects are real-time plug-i effects that use gradiet image bitmaps to create wipes ad segmet effects. There are 64 preset effects,

More information

PROJECTOR SFX SUFA-X. Properties. Specifications. Application. Tel

PROJECTOR SFX SUFA-X. Properties. Specifications. Application.  Tel ifo@extgeeratioled.be www.extgeeratioled.be Tel + 32 53 71 09 42 PROJECTOR SFX SUFA-X Properties Lifespa L70 %: > 50.000 hours Eergy savigs up to 65% Boosted istallatio efficiecy thaks to the slimmed-dow

More information

Achieving 550 MHz in an ASIC Methodology

Achieving 550 MHz in an ASIC Methodology Achievig Mz i a ASIC Methodology D. G. Chiery, B. Nikolić, K. Keutzer Departmet of Electrical Egieerig ad Computer Scieces Uiversity of Califoria at Berkeley {chiery, bora, keutzer}@eecs.berkeley.edu ABSTRACT

More information

An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers

An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers Shadi T. Khasawneh and Kanad Ghose Department of Computer Science State University of New York, Binghamton,

More information

STx. Compact HD/SD COFDM Transmitter. Features. Options. Accessories. Applications

STx. Compact HD/SD COFDM Transmitter. Features. Options. Accessories. Applications Compact HD/SD COFDM Trasmitter Features SD ad HD ecodig 200mW RF output power Optimized for size Superior broadcast grade video Wide selectio of video iputs MPEG-4 Part-10/H.264 Two moo audio chaels Very

More information

Implementation of Expressive Performance Rules on the WF-4RIII by modeling a professional flutist performance using NN

Implementation of Expressive Performance Rules on the WF-4RIII by modeling a professional flutist performance using NN 2007 IEEE Iteratioal Coferece o Robotics ad Automatio Roma, Italy, 10-14 April 2007 Implemetatio of Expressive Performace Rules o the WF-4RIII by modelig a professioal flutist performace usig NN Jorge

More information

MODELLING PERCEPTION OF SPEED IN MUSIC AUDIO

MODELLING PERCEPTION OF SPEED IN MUSIC AUDIO MODELLING PERCEPTION OF SPEED IN MUSIC AUDIO Aders Elowsso KTH Royal Istitute of Techology CSC, Dept. of Speech, Music ad Hearig elov@kth.se Aders Friberg KTH Royal Istitute of Techology CSC, Dept. of

More information

Analyzing the influence of pitch quantization and note segmentation on singing voice alignment in the context of audio-based Query-by-Humming

Analyzing the influence of pitch quantization and note segmentation on singing voice alignment in the context of audio-based Query-by-Humming Aalyzig the ifluece of pitch quatizatio ad ote segmetatio o sigig voice aligmet i the cotext of audio-based Query-by-Hummig Jose J. Valero-Mas Patter Recogitio ad Artificial Itelligece Group, Uiversity

More information

T-25e, T-39 & T-66. G657 fibres and how to splice them. TA036DO th June 2011

T-25e, T-39 & T-66. G657 fibres and how to splice them. TA036DO th June 2011 T-25e, T-39 & T-66 G657 fibres ad how to splice them TA036DO0018-03 10 th Jue 2011 What is G657 fibre? G657 is a ew class of sigle mode fibre which ca be bet more severely the ormal G652 sigle mode without

More information

BesTrans AOC (Active Optical Cable) Spec and Manual

BesTrans AOC (Active Optical Cable) Spec and Manual BesTras AOC (Active Optical Cable) Spec ad Maual A. Techology: BesTras Active Optical Cable (AOC) is a easy-to-use, secure coectio for home video distributio, coferece room presetatio systems, classroom

More information

Performance. Performance

Performance. Performance 2 What do you mean by performance? The importance metric is how does your application perform? How does your mix of applications perform? Speed. is 0.1 seconds different from 0.5 seconds 1% chance of response

More information

Higher-order modulation is indispensable in mobile, satellite,

Higher-order modulation is indispensable in mobile, satellite, High Throughput Probabilistic Shapig with Product Distributio Matchig Georg Böcherer, Member, IEEE, Fabia Steier, Studet Member, IEEE, Patric Schulte, Studet Member, IEEE [6] as a shapig device with forward

More information

PIANO SYLLABUS SPECIFICATION. Also suitable for Keyboards Edition

PIANO SYLLABUS SPECIFICATION. Also suitable for Keyboards Edition PIANO SYLLABUS SPECIFICATION Also suitable for Keyboards 2016 Editio Piao Syllabus Specificatio 2016 Editio Rockschool Performace Arts Awards Vocatioal Qualificatios Ackowledgemets Syllabus Syllabus writte

More information

9311 EN. DIGIFORCE X/Y monitoring. For monitoring press-fit, joining, rivet and caulking operations Series 9311 ±10V DMS.

9311 EN. DIGIFORCE X/Y monitoring. For monitoring press-fit, joining, rivet and caulking operations Series 9311 ±10V DMS. DIGIFORCE X/Y moitorig For moitorig press-fit, joiig, rivet ad caulkig operatios Series 9311 ±10V DMS Compatible sesors Piezo Poti Flexible Fieldbus itegratio by PROFIBUS, PROFINET or EtherNet/IP Automatic

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Internet supported Analysis of MPEG Compressed Newsfeeds

Internet supported Analysis of MPEG Compressed Newsfeeds Proceedigs of the IASTED Iteratioal Coferece Iteret ad Multimedia Systems ad Applicatios October 18-21, 1999 Nassau, Bahamas Iteret supported Aalysis of MPEG Compressed Newsfeeds Guido FALKEMEIER, Gerhard

More information

8825E/8825R/8830E/8831E SERIES

8825E/8825R/8830E/8831E SERIES FETURES IDC for 0.635mm flat ribbo cable (#30 WG, Straded) Highly reliable 2 poit coectio Low isertio ad withdrawal force Oe-Touch lockig ejector system Pateted ribbo cable cotact desig protects agaist

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

ROUNDNESS EVALUATION BY GENETIC ALGORITHMS

ROUNDNESS EVALUATION BY GENETIC ALGORITHMS Chapter ROUNDNESS EVALUATION BY GENETIC ALGORITHMS Michele Lazetta ad Adrea Rossi Departmet of Mechaical, Nuclear ad Productio Egieerig Uiversity of Pisa, Via Diotisalvi 1, 56122 Pisa, Italy ABSTRACT Roudess

More information

Manual Comfort Air Curtain

Manual Comfort Air Curtain Maual Comfort Air Curtai Model SesAir Versio 1.0- North America Origial Maual Eglish a COMFORT AIR CURTAIN... Cotets 1 Itroductio 4 1.1 About this maual 4 1.2 How to read this maual 4 1.3 About the uit

More information

Australian Journal of Basic and Applied Sciences

Australian Journal of Basic and Applied Sciences Australia Joural of Basic ad Applied Scieces, 8(11) Special 2014, Pages: 16-22 AENSI Jourals Australia Joural of Basic ad Applied Scieces ISSN:1991-8178 Joural home page: www.abasweb.com Explorig the Hammig

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

TRAINING & QUALIFICATION PROSPECTUS

TRAINING & QUALIFICATION PROSPECTUS TRAINING & QUALIFICATION PROSPECTUS EXPERT TRAINING FOR A FUTURE IN BROADCAST MEDIA TECHNOLOGY 1 WHO WE WORK WITH Page 4 OUR COMMUNITY Page 5 INTRODUCTION TO BROADCAST TECHNOLOGY Page 6 IP AND FILE BASED

More information

NexLine AD Power Line Adaptor INSTALLATION AND OPERATION MANUAL. Westinghouse Security Electronics an ISO 9001 certified company

NexLine AD Power Line Adaptor INSTALLATION AND OPERATION MANUAL. Westinghouse Security Electronics an ISO 9001 certified company NexLie AD 4302 Power Lie Adaptor INSTALLATION AND OPERATION MANUAL Westighouse Security Electroics a ISO 9001 certified compay 5452 Betsy Ross Drive Sata Clara, CA 95054-1184 (408) 727-5170 FAX (408) 727-6707

More information

Hardware Design I Chap. 5 Memory elements

Hardware Design I Chap. 5 Memory elements Hardware Design I Chap. 5 Memory elements E-mail: shimada@is.naist.jp Why memory is required? To hold data which will be processed with designed hardware (for storage) Main memory, cache, register, and

More information

RHYTHM TRANSCRIPTION OF POLYPHONIC MIDI PERFORMANCES BASED ON A MERGED-OUTPUT HMM FOR MULTIPLE VOICES

RHYTHM TRANSCRIPTION OF POLYPHONIC MIDI PERFORMANCES BASED ON A MERGED-OUTPUT HMM FOR MULTIPLE VOICES Proceedigs SMC 6.8. -.9.6, Hamburg, Germay RHYTHM TRANSCRIPTION OF POLYPHONIC MIDI PERFORMANCES BASED ON A MERGED-OUTPUT HMM FOR MULTIPLE VOICES Eita Nakamura Kyoto Uiversity eakamura@sap.ist.i.kyoto-u.ac.p

More information

Apollo 360 Map Display User s Guide

Apollo 360 Map Display User s Guide Apollo 360 Map Display User s Guide II Morrow Ic. 2345 Turer Road S.E. Salem, Orego 97309 November 1996 P/N 560-0119-00 Apollo 360 Map Display No part of this documet may be reproduced i ay form or by

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

Image Enhancement in the JPEG Domain for People with Vision Impairment

Image Enhancement in the JPEG Domain for People with Vision Impairment Image hacemet i the JPG Domai for People with Visio Impairmet Jisha Tag, Seior Member, Jeoghoo Kim, ad li Peli Abstract A image ehacemet algorithm for low-visio patiets was developed for images compressed

More information

Recognition of Human Speech using q-bernstein Polynomials

Recognition of Human Speech using q-bernstein Polynomials Iteratioal Joural of Computer Applicatios (0975 8887) Volume o.5, Jue 00 Recogitio of Huma Speech usig -Berstei Polyomials Abdulkadir Karacı Kastamou Uiversity Departmet of Computer ad Istructioal Techology

More information

Manual Industrial air curtain

Manual Industrial air curtain Maual Idustrial air curtai Model IdAC2 Versio 6.0 Origial Maual Eglish a INDUSTRIAL AIR CURTAIN... Cotets 1 Itroductio 4 1.1 About this maual 4 1.2 How to read this maual 4 1.3 About the uit 5 1.4 Compoets

More information

Before you submit your application for a speech generating device, we encourage you to take the following steps:

Before you submit your application for a speech generating device, we encourage you to take the following steps: Public Utility Commissio Residetial Service Protectio Fud Telephoe Assistace Programs 201 High St SE Suite 100 Salem, OR 97301-3612 Mailig Address: PO Box 1088 Salem, OR 97308-1088 1-800-848-4442 TTY:

More information

VOCALS SYLLABUS SPECIFICATION Edition

VOCALS SYLLABUS SPECIFICATION Edition VOCALS SYLLABUS SPECIFICATION 2016 Editio Vocals Syllabus Specificatio 2016 Editio Rockschool Performace Arts Awards Vocatioal Qualificatios Ackowledgemets Syllabus Vocal specialists: Marti Hibbert ad

More information

Sigma 3-30KS Sigma 3-30KHS

Sigma 3-30KS Sigma 3-30KHS Sigma 3-30KS Sigma 3-30KHS High-speed cetrifuge refrigerated refrigerated/ heatable www.sigma-zetrifuge.de 3 Exceptioal i every respect Sigma is a leadig iteratioal maufacturer of laboratory cetrifuges

More information

NIIT Logotype YOU MUST NEVER CREATE A NIIT LOGOTYPE THROUGH ANY SOFTWARE OR COMPUTER. THIS LOGO HAS BEEN DRAWN SPECIALLY.

NIIT Logotype YOU MUST NEVER CREATE A NIIT LOGOTYPE THROUGH ANY SOFTWARE OR COMPUTER. THIS LOGO HAS BEEN DRAWN SPECIALLY. NIIT Logotype The NIIT logotype is always preseted i a fixed cofiguratio. The desig of the logotype is based o a typeface called Egyptia. The letters N I I T has bee specially desiged ad letter-spaced.

More information

COMMITTEE ON THE HISTORY OF THE FEDERAL RESERVE SYSTEM. Register of Papers CHARLES SUMNER HAMLIM ( )

COMMITTEE ON THE HISTORY OF THE FEDERAL RESERVE SYSTEM. Register of Papers CHARLES SUMNER HAMLIM ( ) COMMITTEE ON THE HISTORY OF THE FEDERAL RESERVE SYSTEM Register of Papers Processed: M^ Date: 1/23/56 AC. 4886 AC. 4886 ads 1-3 CHARLES SUMNER HAMLIM (1861-1938) The papers of Charles Hamli, lawyer, Assistat

More information

2 Specialty Application Photoelectric Sensors

2 Specialty Application Photoelectric Sensors SMARTEYE X-PRO XP10 XP10 -- Extremely High Speed Sesor 2 Specialty Applicatio Photoelectric Sesors 2-119 Specialty Applicatio Photoelectric Sesors 2 SMARTEYE X-PRO XP10 Extremely High Speed (10µs) Photoelectric

More information

... clk. 10 Registers and counters

... clk. 10 Registers and counters igitl Logic/esig. L. 10 My 2, 2006 10 Registers d couters 10.1 Registers The simplest -bit register is collectio of flip-flops triggered by commo clock. I wou prefer to cll it just -bit flip-flop, sice

More information

MOBILVIDEO: A Framework for Self-Manipulating Video Streams

MOBILVIDEO: A Framework for Self-Manipulating Video Streams MOBILVIDEO: A Framework for Self-Maipulatig Video Streams Aath Grama, Wojciech Szpakowski, ad Vero Rego Departmet of Computer Scieces, Purdue Uiversity, W. Lafayette, IN 47907 fayg, spa, regog@cs.purdue.edu

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Research on the Classification Algorithms for the Classical Poetry Artistic Conception based on Feature Clustering Methodology. Jin-feng LIANG 1, a

Research on the Classification Algorithms for the Classical Poetry Artistic Conception based on Feature Clustering Methodology. Jin-feng LIANG 1, a 2d Iteratioal Coferece o Electrical, Computer Egieerig ad Electroics (ICECEE 2015) Research o the Classificatio Algorithms for the Classical Poetry Artistic Coceptio based o Feature Clusterig Methodology

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

Tutorial Outline. Typical Memory Hierarchy

Tutorial Outline. Typical Memory Hierarchy Tutorial Outline 8:30-8:45 8:45-9:05 9:05-9:30 9:30-10:30 10:30-10:50 10:50-12:15 12:15-1:30 1:30-2:30 2:30-3:30 3:30-3:50 3:50-4:30 4:30-4:45 Introduction and motivation Sources of power in CMOS designs

More information

THE USE OF forward error correction (FEC) in optical networks

THE USE OF forward error correction (FEC) in optical networks IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 461 A High-Speed Low-Complexity Reed Solomon Decoder for Optical Communications Hanho Lee, Member, IEEE Abstract

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) HIGH VOLTAGE NPN POWER TRANSISTOR FOR HIGH DEFINITION CRT DISPLAYS STATE-OF-THE-ART TECHNOLOGY: DIFFUSED COLLECTOR "ENHANCED GENERATION" EHVS1 WIDER RANGE OF OPTIMUM DRIVE CONDITIONS LESS SENSITIVE TO

More information

Canon Canada Builds Its New LEED Gold Certified Canadian Headquarters in Partnership with Applied Electronics

Canon Canada Builds Its New LEED Gold Certified Canadian Headquarters in Partnership with Applied Electronics w w w. a p p l i e d e l e c t r o i c s. c o m Cao Caada Builds Its New LEED Gold Certified Caadia Headquarters i Partership with Applied Electroics Brampto, Otario Reflective of Cao s commitmet to the

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Background Manuscript Music Data Results... sort of Acknowledgments. Suite, Suite Phylogenetics. Michael Charleston and Zoltán Szabó

Background Manuscript Music Data Results... sort of Acknowledgments. Suite, Suite Phylogenetics. Michael Charleston and Zoltán Szabó Suite, Suite Phylogeetics /5 Suite, Suite Phylogeetics Michael Charlesto ad Zoltá Szabó michael.charlesto@sydey.edu.au November 5th, 20 Suite, Suite Phylogeetics 2/5 S Bach oha Sebastia Bach was bor i

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Quantifying Domestic Movie Revenues Using Online Resources in China

Quantifying Domestic Movie Revenues Using Online Resources in China Quatifyig Domestic Movie Reveues Usig Olie Resources i Chia Jia Xiao 1*, Sog-a Yag 2, Xi Li 1, He Ji 1 ad Shazhi Che 3 1. State Key Laboratory of Networkig ad Switchig Beijig Uiversity of Posts ad Telecommuicatios

More information

,..,,.,. - z : i,; ;I.,i,,?-.. _.m,vi LJ

,..,,.,. - z : i,; ;I.,i,,?-.. _.m,vi LJ ,..,,.,. - z : i,; ;I.,i,,?-.. _.m,vi 5.. :. 5 LJ Page Itroductio 2 TalkTolO32 Set Keys... 3 Feature Descriptio... 4 Feature Selectio... 5 Feature Programmig... 6 System Programmig Chart... 7 Power Fail

More information

FHD inch Widescreen LCD Monitor USERGUIDE

FHD inch Widescreen LCD Monitor USERGUIDE FHD2402 24-ich Widescree LCD Moitor USERGUIDE Cotets Chapter 1: Usig Your Gateway Flat-Pael Moitor.................... 1 Coectig the moitor.................................................... 2 Coectig

More information

Our competitive advantages : Solutions for X ray Tubes. X ray emitters. Long lifetime dispensers cathodes n. Electron gun manufacturing capability n

Our competitive advantages : Solutions for X ray Tubes. X ray emitters. Long lifetime dispensers cathodes n. Electron gun manufacturing capability n Solutios for Xray tubes_layout 1 15/12/2014 12:05 Pagia 2 Our competitive advatages : Log lifetime dispesers cathodes Electro gu maufacturig capability High capacity getters for high vacuum requiremets

More information

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji S.NO 2018-2019 B.TECH VLSI IEEE TITLES TITLES FRONTEND 1. Approximate Quaternary Addition with the Fast Carry Chains of FPGAs 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. A Low-Power

More information

Comparative Study of Different Techniques for License Plate Recognition

Comparative Study of Different Techniques for License Plate Recognition Comparative Study of Differet Techiques for Licese Plate Recogitio By Jitedra Sharma 1, Prof Khushboo Saxea 2, Prof Amit Sihal 3 1, 2, 3 Departmet of Iformatio Techology, Techocrats Istitute of Techology,

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Guide to condition reports for domestic electrical installations

Guide to condition reports for domestic electrical installations Coditio rpt leaflet A5:codiio rpt leaflet A5 15022012 11:48 Page 1 Guide to coditio reports for domestic electrical istallatios Coditio rpt leaflet A5:codiio rpt leaflet A5 15022012 11:48 Page 2 Courtesy

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and How to Break Them) Prof. Todd Austin Advanced Computer Architecture Lab University of Michigan austin@umich.edu Once upon a time 1 Rules of Low-Power Design P = acv

More information

Math of Projections:Overview. Perspective Viewing. Perspective Projections. Perspective Projections. Math of perspective projection

Math of Projections:Overview. Perspective Viewing. Perspective Projections. Perspective Projections. Math of perspective projection Math of Projectios:Overview Math of perspective projectio, stadard cofiguratio OpeGL perspective projectios Math of orthographic projectio OpeGL orthographic projectios Viewport trasformatios ad settig

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Minimum Span. Maximum Span Setting

Minimum Span. Maximum Span Setting Specificatio Magetic Flowmeters Electrodeless Series 10DX3121 Primary w/ 50CM2000 Coverter Miimum Liquid Coductivity 0.05 µs/cm. Allows may ew harsh process liquids to be measured with a magetic flowmeter.

More information

Index. LV Series. Multimedia Projectors FULL LINE PRODUCT GUIDE. usa.canon.com/projectors. REALiS LCOS Projectors. WUX10 Mark II D WUX10 Mark II...

Index. LV Series. Multimedia Projectors FULL LINE PRODUCT GUIDE. usa.canon.com/projectors. REALiS LCOS Projectors. WUX10 Mark II D WUX10 Mark II... Multimedia Projectors FULL LINE PRODUCT GUIDE Idex REALiS Projectors Mark II D Mark II.... 1 D...... 2 Mark II D Mark II..... 4 Mark II D Mark II..... 5......... 6........ 7......... 8 LV Series LCD Projectors

More information