EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

Size: px
Start display at page:

Download "EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection"

Transcription

1 EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2, Chiyan Kuan 2, Fei Wang 2, Daniel Corliss 1, Wei Fang 2, Jack Jau 2, Zhengqing John Qi 3, Karen Badger 3, Christina Turley 3 and Jed Rankin 3 1 IBM Research, 257 Fuller Rd, Albany, USA 2 Hermes Microvision, 1762 Automation Pkwy, San Jose, USA 3 GLOBALFOUNDRIES Inc., 1000 River St, Burlington, VT, USA ABSTRACT Over the past few years numerous advancements in EUV Lithography have proven its feasibility of insertion into High Volume Manufacturing (HVM). 1, 2 A lot of progress is made in the area of pellicle development but a commercially solution with related infrastructure is currently unavailable. 3, 4 Due to current mask structure and unavailability of a pellicle, a comprehensive strategy to qualify (native defects) and monitor (adder defects) defectivity on mask and wafer is required for implementing EUV Lithography in High Volume Manufacturing. In this work, we assess mutltiple strategies for mask and wafer defect inspection including a two-fold solution to leverage resolution of e-beam inspection along with throughput of optical inspection are evaluated. Defect capture rates for inspections based on full-die, critical areas based on priority and hotspots based on design and prior inspection data are evaluated. Each strategy has merits and de-merits, particularly related to throughput, effective die coverage and computational overhead. A production ready EUV Exposure tool was utilized to perform exposures at the IBM EUV Center of Excellence in Albany, NY for EUV Lithography Development along with a fully automated line of EUV Mask Infrastructure tools. We will present strategies considered in this study and discuss respective results. The results from the study indicate very low transfer rate of defect detection events from optical mask inspection. They also suggest a hybrid strategy of utilizing both optical and e-beam inspection can provide a comprehensive defect detection which can be employed in High Volume Manufacturing. Keywords: EUV Lithography, EUV Mask, E-beam Inspection, Die-Die, Die-Database, EUV Etched Wafer, Etch Pattern 1. INTRODUCTION EUV Lithography is without doubt the foremost adoptable optical lithography solution for sub-20nm pattern fabrication by the semiconductor industry. Defectivity on masks is major challenge for insertion into High Volume Manufacturing. Unavailability of a commercial pellicle solution, actinic inspection tools on EUV masks has made critical defect detection and mitigation a major challenge. E-beam (electron beam) inspection offers high resolution for defect detection and has been shown to detect sub-10nm defects 5 on resist patterns. A known drawback of using e-beam inspection is its throughput but multiple strategies such as hot-spot inspection, design derived care area etc., have been proposed to provide improved throughput. 8 Currently, e-beam inspection is widely used as yield monitor in semiconductor manufacturing industry. Design errors, pattern defects and surface defects are captured through optical mask inspection post EUV mask fabrication. 10 An approximate signature of buried defects can also be captured by mask optical inspection in a phase contrast mode. This information can assist mask known defect locations to filter out process and mask use added defect data. 7 Prior to usage of the mask in production environment, comprehensive mask defectivity information is required with a minimum detection resolution targeted below printable wafer pattern Send correspondence to: Ravi Bonam : rkbonam@us.ibm.com Extreme Ultraviolet (EUV) Lithography VII, edited by Eric M. Panning, Kenneth A. Goldberg. Proc. of SPIE Vol. 9776, 97761C 2016 SPIE CCC code: X/15/$18 doi: / Proc. of SPIE Vol C-1

2 dimensions. As initial mask qualification requires the need for complete defectivity information, we use e-beam mask and wafer defect inspection to qualify the mask, also leveraging optical mask defect inspection. This generates an initial reference state for the mask. As the mask is qualified for use, an optical wafer inspection tool is utilized to monitor defectivity on the mask by inspecting patterned wafers. The optical patterned wafer inspection tool has the capability of comparing current die inspection data to a golden wafer virtual die. 9 This Virtual Die feature captures the initial/qualified state of the printed patterned defect of the mask and it can be compared to successive patterned wafers. This enables detection of random and repeater type defects as the mask is utilized of patterning. 2. EXPERIMENTAL SETUP A production ready EUV lithography tool 1 at College of Nanoscale Science and Engineering, SUNY Polytechnic Institute was used to pattern silicon wafers. A fully automated suite of mask infrastructure tools were utilized for mask inspection, cleans, handling and storage. Wafers were coated high resolution EUV resist on an etch stack consisting of a Silicon containing hard mask and an organic underlayer to facilitate pattern transfer. Figure 1 illustrates EUV mask qualification strategy post mask delivery. Due to unavailability of actinic inspection tool, printability assessment of defects requires high resolution inspection at all possible defect locations. As shown in the figure, full die, priority care areas or hotspots type inspections can be performed with e-beam inspection. In this study, we evaluated this strategy on 10 Back End of the Line (BEOL) EUV mask levels which are part of an integrated device maskset. As the masks were received, all three e-beam inspection strategies were applied to assess differences in defect detection. Mask Inspection (optical) Defect Map (buried and surface) 1 Scanner exposure (through focus) Optical wafer inspection E -beam wafer inspect Full Die Critical Areas - Macro and priority based Hotspots - Design and Inspection data aided Mask Ready for production E -beam mask inspection Mask Ready for re -entry Mask Clean Figure 1. EUV Mask Qualification Strategy to assess and record native defectivity state Along with mask qualification it is critical to monitor for particle defectivity (adders) as they are utilized for patterning and other mask operations. Figure 2 illustrates a strategy for monitoring the state of a mask to its qualified state which was saved as virtual reference. In this work, this strategy was successfully implemented and validated on product and monitor masks. Proc. of SPIE Vol C-2

3 Scanner exposure Optical {et inspection E -beam wafer inspect Mask Ready for Production E -beam mask inspection Mask Ready for re -entry Mask Clean Figure 2. EUV Mask Monitor Strategy to detect particle adders An e-beam inspection tool 6, 12 was used to perform inspection on patterned wafers. The wafer inspection tool has two modes of inspection, Die-Die and Die-Database, and both were evaluated for sensitivity, capture efficiency and throughput. The e-beam wafer inspection tool as shown in Figure 3a utilized in this work is capable of performing Die-Database inspection in multiple modes. It can capture large areas and stitch multiple fields together, generate care-areas from design layers and also perform targeted hot-spot inspections as shown in Figure 3b. TFE source Magnetic Lens Detector Laser Blanker I 1 I I Stigmator uu N N u u E1 EI... _... Wafer /Mask Large Area Notspot Inspection TIMINIBION J Figure 3. E-beam Wafer and Mask Inspection tool, a. Block diagram of e-beam inspection tool and capability to perform, b. Large area Die-Database inspection c. Hot-spot Inspection Figure 4 illustrates two steps in the Die-Virtual Reference optical inspection, Step I indicates recording native state of the mask as it transfers to the wafer and Step II shows the recorded virtual reference being compared to follow on wafers to detect mask adder events. In this process inspection is performed based on averaging multiple die, as indicated in Figure 4a, in this case a row of seven die are utilized. It was reported in a recent study [3], defect printability on wafer has a non-linear trend and as a result of post-exposure processing effects their dimensions are much larger than predicted from optical simulations. Proc. of SPIE Vol C-3

4 STEP II b sca n detector filters Scan chips Across row NEMBININ "defect" wafer a STEP I Reference wafer Additive Reticle repeater SRD training => b "Native" Reticle repeater Random defect Average Virtual Reference Die Image "Native" Reticle repeater Additive Reticle repeater Average Test Image Comparison to Virtual Reported defects (KLARE) Per Chip Row Reference Die Image i Figure 4. Optical Inspection, a. Step 1 - Process to record native state of mask, b. Step II - Inspection process compares wafer defect signature to native state recorded in Step I Figure 5a show plot of mask defects from optical mask inspection. These defects locations were tracked from post Multilayer deposition, Absorber deposition, Blank Inspection (Phase contrast) to Patterned inspection. Some defect locations indicated in the plot are shown to propagate from blank deposition to pattern fabrication. An example of such a defect is shown in Figure 5b, which and is considered to be a yield limiter type defect. Figure 5c shows defect locations obtained from mask inspection marked on design as hot-spots along with a few critical areas marked based on designer priority. 3. RESULTS AND DISCUSSION In this study, aforementioned strategies for EUV mask qualification and monitor on 10 integrated BEOL levels. EUV masks ahead of exposures on the scanner are processed through a backside optical inspection. Figure 6a illustrates backside inspection defects post multiple uses on EUV exposure tool. Figure 6b shows review images of clamp events and cleanable adder defects. The challenge is differentiation between them as spatial signature is similar although clamp indentations are of very low concern to cause imaging impact. There are about 5% of particle defect events which have the potential to cause image placement error and are removable through a cleans process. Mask defect maps from blank inspection (phase contrast), pattern inspection were translated to wafer coordinates and e-beam hot-spot Die-Database inspection was performed to assess defect transfer rate. Figure 7 shows defects detected at mask house blank and pattern inspection and respective wafer transfer data. It is evident from the plot that there is insignificant transfer rate of defects detected in this mode. Inset also shows two defects detected at blank and pattern mask inspection respectively and were found to transfer to wafer. It was also confirmed from this study that defects detected at blank and pattern mask inspections had a 100% transfer rate to wafer prints. E-beam inspections were performed at 5 and 10nm pixel sizes and with different frame averages to compare capture efficiency which was found to be similar. Another important aspect to be considered is the mask defect detections to wafer transfer rate over all three strategies as shown in Figure 8. Full Die and Hotspot inspections based on mask defect locations indicate similar defect capture on wafer prints. Lower defect capture rate is reasonable in Priority care areas as they only cover 25% of die area. Proc. of SPIE Vol C-4

5 . 12, 10' Mask Defects Plot S O p Absorber Mulöayer Blank Patterned VC Mask Defects Translated to Design Notspots O o O ö Mask X Dimension (ms) x 10' t si i.s.s `.i' m Mask SEM review :n. et! +-LR tr) 11,0 "irktin t.i tiallettat11_41tivii Wafer e -beam inspection Large shaded areas - EUV1 markers, Scattered green boxes - Possible buried defect locations marked for Die -Db wafer inspection Figure 5. a. Mask defect maps from multiple inspections overlayed spatially at mask dimensions, b. Shows an example of buried defect detect at pattern mask inspection and respective wafer print detected from Die-Database inspection, c. A full die design with transformed mask defects marked on a layer to enable hot-spot inspection, also shows large areas marked based on priority 30 Mask Backside - Cleanable ( >5um) Defects o 15 5 A10 5 I I iiihili 0 #1 #3 #5 #7 #9 #1 #3 #5 #7 #9 #1 #3 #5 #7 #9 a L1 L2 L3 Mask Level / Inspections J Figure 6. a. Mask backside inspections on a few mask levels indicating cleanable defects greater than 5 microns, b. Optical review images of clamp indentations and particle adder defects Post qualification, mask monitoring is essential to detect particle adders as it is processed through wafer exposures and mask operations. As illustrated in Figure 2, qualified mask exposures were recorded as virtual reference and used to compare follow on exposures. Results from the applied strategy over a few weeks are shown in Figure 9, indicate native defects along with adder defects. Some detection variability can be noticed in the plot which is related to print variations as well as inherent defect capture rate of the tool. Post Wk15, the mask was processed through a wet cleans process which successfully restored native defectivity state of the mask. This can be clearly seen in the adder section of the plot and validates aforementioned strategy in detecting adder defects. Proc. of SPIE Vol C-5

6 !!!' Mask to Wafer Defect Transfer 100 Patterned Defects(Madt) 10 I i Blank Defects(Madt) Wafer Defects L2 L3 L4 LS L7 L9 EUV BEOL Levels L10 Figure 7. Plot of Patterned mask defect, buried defects and respective defect transfer to wafer 35 a, 30 C 7 V 25 V,v 20 Ol,- 15 ila' Q 10 cc 5 0 L1 E -beam Inspection Evaluation- EUV Mask Qual Id Ill Li L3 L4 L5 L6 L7 L8 L9 L10 EUV Mask Levels Full Die Priority Care Areas (25 %) HS (Optical Mask) Figure 8. Plot indicates native mask defect detection across multiple strategies, Full Die, Priority care area and Hotspots based on prior mask defect information Mask clean Natives Mask Adder iii:iiiiihhiiiiiiii Wkí Wk2 Wk3 Wk4 Wki Wk2 Wk3 Wk4 Wk5 Wk5 Wk6 Wk7 WkS Wk9 Wk10 Wkll Wk12 Wk13 Wk14 Wk15 Figure 9. Illustration of Die-Virtual reference optical wafer inspection data on a monitor mask over a few week indicating multiple adder detections and native state restoration post mask cleans Figure 10 shows a patterned mask monitored over the course of its usage on the EUV exposure tool. Defect detected was characterized and predominant material was identified as carbon. Post mask clean native Proc. of SPIE Vol C-6

7 defectivity state was restored as indicated in the plot. The plot indicates very low adders over long term usage of the mask in the EUV exposure tool as well as through mask operations. 7 e, g 6 V 4 2 a _111 Mask Adder Natives -Wafer Count Wk2 WK3 Wk4 Wk5 Wk6 r Wk7 Wk8 Wk e a o b Wafer Mask Dominant material identified as C Figure 10. a. Plot showing defect data from a mask 4. CONCLUSION Defect inspection strategies presented in this work indicates a feasible solution to qualify and monitor mask defectivity in a high volume manufacturing environment. Resolution and throughput of e-beam and optical respectively, can be utilized in tandem to provide comprehensive printable defect detection. In house Mask Infrastructure tools (Inspection, Cleans and Handling) provided the capability of restoring native mask defectivity state which is required post detection. Mask Backside inspection also indicated very low particle defects It can be inferred from figures 8, 7 that defect capture rate in targeted hotspots based on optical mask inspection is equivalent to full die e-beam inspection. Another important conclusion is defect transfer rate from detections identified from optical blank and pattern inspection are less than 1%. Most defects detected using blank defect inspection are also detected in patterned mask inspection, indicating very insignificance of blank mask inspection in phase contrast mode. It was reported in a recent study [3], defect printability on wafer has a non-linear trend and as a result of post-exposure processing effects their dimensions are much larger than predicted from optical simulations. This works as an advantage of using optical inspection for adder detection in this strategy. Results from this study indicate a plausible defectivity solution in the absence of a pellicle and actinic inspection tool. ACKNOWLEDGMENTS This work is a result of a joint development activity between IBM and Hermes Microvision Inc and it was performed by Research and Development Alliance Teams at IBM facility at Albany, NY. The authors would like to acknowledge Derek Tomlinson, Ray Zhang, Yan Zhao from Hermes Microvision Inc. REFERENCES [1] R. Peeters, et al. EUV lithography: NXE platform performance overview. SPIE Advanced Lithography. International Society for Optics and Photonics, [2] A. Pirati, et al. Performance overview and outlook of EUV lithography systems. SPIE Advanced Lithography. International Society for Optics and Photonics, Proc. of SPIE Vol C-7

8 [3] D. Goldfarb, Fabrication of a full-size EUV pellicle based on silicon nitride. SPIE Photomask Technology. International Society for Optics and Photonics, [4] C. Zoldesi, et al. Progress on EUV pellicle development. SPIE Advanced Lithography. International Society for Optics and Photonics, [5] R. Bonam, S. Halle, D. Corliss, H. Tien, F. Wang, W. Fang, and J. Jau, E-beam inspection of EUV programmed defect wafers for printability analysis. In Advanced Semiconductor Manufacturing Conference (ASMC), 24th Annual SEMI, pp IEEE, [6] R. Bonam, et al. E-beam inspection of EUV mask defects: To etch or not to etch?. SPIE Advanced Lithography. International Society for Optics and Photonics, [7] K. Seki, et al. Printability of buried extreme ultraviolet lithography photomask defects. Journal of Micro/Nanolithography, MEMS, and MOEMS 15.2 (2016): [8] O. D. Patterson, J. Lee, M. D. Monkowski, D. A. Ryan, S. Chen, S. C. Lei et. al., E-beam inspection system for comparison of wafer and design data, Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, pp J-83242J-9, March, 2012 [9] S. Halle, et al. Toward defect guard-banding of EUV exposures by full chip optical wafer inspection of EUV mask defect adders. SPIE Advanced Lithography. International Society for Optics and Photonics, [10] J. Rankin, et al. EUV photomask defects: what prints, what doesn t, and what is required for HVM. SPIE Photomask Technology. International Society for Optics and Photonics, [11] K. Cho, J. Park, C. Park, Y. Lee, I. Kang, J. Yeo et. al., The analysis of EUV mask defects using a wafer defect inspection system, Proc. SPIE 7636, pp E-76361E-15, March, 2010 [12] X. Liu, X. Zhang, Y. Zhao, A. Desai, Z. W. Chen, Low energy large scan field electron beam column for wafer inspection, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 22, no. 6, pp , November, 2004 [13] Z.W.Chen, Swinging objective retarding immersion lens electron optics focusing,, US Patent# , June, 2003 Proc. of SPIE Vol C-8

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing 1 Oliver D. Patterson, 1 Xing J. Zhou, 1 Rohit S. Takalkar, 1 Katherine V. Hawkins, 1 Eric H.

More information

EUV Blank Inspection

EUV Blank Inspection EUV Blank Inspection J.H. Peters* a, C. Tonk a, D. Spriegel b, Hak-Seung Han c, Wonil Cho c, Stefan Wurm d a Advanced Mask Technology Center, Raehnitzer Allee 9, 01109 Dresden, Germany; b Siemens AG, Corporate

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Characterization and improvement of unpatterned wafer defect review on SEMs

Characterization and improvement of unpatterned wafer defect review on SEMs Characterization and improvement of unpatterned wafer defect review on SEMs Alan S. Parkes *, Zane Marek ** JEOL USA, Inc. 11 Dearborn Road, Peabody, MA 01960 ABSTRACT Defect Scatter Analysis (DSA) provides

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

ABSTRACT 1 INTRODUCTION

ABSTRACT 1 INTRODUCTION Novel lithography technique using an ASML Stepper/Scanner for the manufacture of display devices in MEMS world ASML US, Inc Special Applications, 6580 Via Del Oro San Jose, CA 95119 Keith Best, Pankaj

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Layout Decompression Chip for Maskless Lithography

Layout Decompression Chip for Maskless Lithography Layout Decompression Chip for Maskless Lithography Borivoje Nikolić, Ben Wild, Vito Dai, Yashesh Shroff, Benjamin Warlick, Avideh Zakhor, William G. Oldham Department of Electrical Engineering and Computer

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure

Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure PHOTONIC SENSORS / Vol. 4, No. 4, 2014: 366 372 Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure Sheng LI 1*, Min ZHOU 2, and Yan YANG 3 1 National Engineering Laboratory

More information

1.2 Universiti Teknologi Brunei (UTB) reserves the right to award the tender in part or in full.

1.2 Universiti Teknologi Brunei (UTB) reserves the right to award the tender in part or in full. TENDER SPECIFICATIONS FOR THE SUPPLY, DELIVERY, INSTALLATION AND COMMISSIONING OF ONE UNIT OF VARIABLE PRESSURE ENVIRONMENTAL SCANNING ELECTRON MICROSCOPE (SEM) CUM ENERGY DISPERSIVE SPECTROSCOPY (EDS)

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

ABSTRACT. *Corresponding author: +1 (518) ;

ABSTRACT. *Corresponding author: +1 (518) ; Optical and thermal performance of a remote phosphor plate Xi Mou, Nadarajah Narendran*, Yiting Zhu, Indika U. Perera Lighting Research Center, Rensselaer Polytechnic Institute, Troy, NY 12180, USA ABSTRACT

More information

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions IN-VISION 2017. All rights reserved. IN-VISION GmbH B2B DLP Light Engine and Optical Solutions Company Long-term experience in development and manufacturing of high-end optical projection lens assemblies

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden Michael Müller, Matthias List Outline FhG-IPMS

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

High Repetition Rate USP Lasers Improve OLED Cutting Results

High Repetition Rate USP Lasers Improve OLED Cutting Results Coherent White Paper May 7, 2018 High Repetition Rate USP Lasers Improve OLED Cutting Results High power ultraviolet, picosecond industrial lasers are widely employed because of their proven ability to

More information

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Commentary Alongside ISSCC, IEDM is the most traditional academic conference in the semiconductor field, and it is held

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , , US-Rev3 26 March 1997 With respect to any product described in or for Attachment B to the Annex to the Ministerial Declaration on Trade in Information Technology Products (WT/MIN(96)/16), to the extent

More information

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA Abstract The Grating Light Valve (GLV ) technology is being used in an innovative system architecture to create

More information

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation PUBLISHABLE Summary SCOOP is a European funded project (FP7 project number 287595 SCOOP). It is focused on OLED technology, microdisplays based on the combination of OLED with CMOS technology, and innovative

More information

In-process inspection: Inspector technology and concept

In-process inspection: Inspector technology and concept Inspector In-process inspection: Inspector technology and concept Need to inspect a part during production or the final result? The Inspector system provides a quick and efficient method to interface a

More information

WITH the rapid development of Gallium Nitride

WITH the rapid development of Gallium Nitride IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 9, SEPTEMBER 2015 1253 Thermal Remote Phosphor Coating for Phosphor-Converted White-Light-Emitting Diodes Xingjian Yu,

More information

arxiv:hep-ex/ v1 27 Nov 2003

arxiv:hep-ex/ v1 27 Nov 2003 arxiv:hep-ex/0311058v1 27 Nov 2003 THE ATLAS TRANSITION RADIATION TRACKER V. A. MITSOU European Laboratory for Particle Physics (CERN), EP Division, CH-1211 Geneva 23, Switzerland E-mail: Vasiliki.Mitsou@cern.ch

More information

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

Data flow architecture for high-speed optical processors

Data flow architecture for high-speed optical processors Data flow architecture for high-speed optical processors Kipp A. Bauchert and Steven A. Serati Boulder Nonlinear Systems, Inc., Boulder CO 80301 1. Abstract For optical processor applications outside of

More information

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor 1024-Element Linear Image Sensor CCD 134 1024-Element Line Scan Image Sensor FEATURES 1024 x 1 photosite array 13µm x 13µm photosites on 13µm pitch Anti-blooming and integration control Enhanced spectral

More information

Introduction and recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000 Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative NFT s mask writer

More information

Electron Beam Technology

Electron Beam Technology Electron Beam Technology Speed up! High Performance Electron Beam Lithography dedicated electron beam lithography To bridge cutting-edge research and nanofabrication, a dedicated nanolithography solution

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES This article has been peer reviewed and accepted for publication in JMST but has not yet been copyediting, typesetting, pagination and proofreading process. Please note that the publication version of

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

CHARACTERIZATION OF END-TO-END DELAYS IN HEAD-MOUNTED DISPLAY SYSTEMS

CHARACTERIZATION OF END-TO-END DELAYS IN HEAD-MOUNTED DISPLAY SYSTEMS CHARACTERIZATION OF END-TO-END S IN HEAD-MOUNTED DISPLAY SYSTEMS Mark R. Mine University of North Carolina at Chapel Hill 3/23/93 1. 0 INTRODUCTION This technical report presents the results of measurements

More information

ABSTRACT 1. INTRODUCTION 2. EXPERIMENTS. Corresponding author: +1 (518) ;

ABSTRACT 1. INTRODUCTION 2. EXPERIMENTS. Corresponding author: +1 (518) ; A spectral measurement method for determining white OLED average junction temperatures Yiting Zhu and Nadarajah Narendran* Lighting Research Center, Rensselaer Polytechnic Institute, 21 Union St., Troy,

More information

Status of readout electronic design in MOST1

Status of readout electronic design in MOST1 Status of readout electronic design in MOST1 Na WANG, Ke WANG, Zhenan LIU, Jia TAO On behalf of the Trigger Group (IHEP) Mini-workshop for CEPC MOST silicon project,23 November,2017,Beijing Outline Introduction

More information

Correlation of Hollow Cathode Assembly and Plasma Contactor Data from Ground Testing and In-Space Operation on the International Space Station *

Correlation of Hollow Cathode Assembly and Plasma Contactor Data from Ground Testing and In-Space Operation on the International Space Station * Correlation of Hollow Cathode Assembly and Plasma Contactor Data from Ground Testing and In-Space Operation on the International Space Station * Scott D. Kovaleski QSS Group, Inc. NASA Glenn Research Center

More information

CCD 143A 2048-Element High Speed Linear Image Sensor

CCD 143A 2048-Element High Speed Linear Image Sensor A CCD 143A 2048-Element High Speed Linear Image Sensor FEATURES 2048 x 1 photosite array 13µm x 13µm photosites on 13µm pitch High speed = up to 20MHz data rates Enhanced spectral response Low dark signal

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Cathode Studies at FLASH: CW and Pulsed QE measurements

Cathode Studies at FLASH: CW and Pulsed QE measurements Cathode Studies at FLASH: CW and Pulsed QE measurements L. Monaco, D. Sertore, P. Michelato S. Lederer, S. Schreiber Work supported by the European Community (contract number RII3-CT-2004-506008) 1/27

More information

Review Report of The SACLA Detector Meeting

Review Report of The SACLA Detector Meeting Review Report of The SACLA Detector Meeting The 2 nd Committee Meeting @ SPring-8 Date: Nov. 28-29, 2011 Committee Members: Dr. Peter Denes, LBNL, U.S. (Chair of the Committee) Prof. Yasuo Arai, KEK, Japan.

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs Transmissive XBPM developments at PSF/BESSY Martin R. Fuchs Acknowledgments PSF Martin Fieber-Erdmann Ronald Förster Uwe Müller BESSY Karsten Blümer Karsten Holldack Gerd Reichardt Franz Schäfers BIOXHIT,

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs LI Quanliang, SHI Cong, and WU Nanjian (The State Key Laboratory for Superlattices and Microstructures, Institute

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Multi Core fibers and other fibers for the future.

Multi Core fibers and other fibers for the future. Multi Core fibers and other fibers for the future. Ole Suhr Senior Account Manager. FIA Summer Seminar, June 2017 1 Your Optical Fiber Solutions Partner Copyright OFS 2017 Market for optical fibers: Recently

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

The Challenges in Making NIL Master Templates

The Challenges in Making NIL Master Templates The Challenges in Making NIL Master Templates Naoya Hayashi Dai Nippon Printing Co., Ltd. A Member of the ebeam Initiative 2011 Dai Nippon Printing Co.,Ltd. All Rights Reserved. OUTLINE Recent Progress

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

~ 50, ,000 ~ $500K

~ 50, ,000 ~ $500K Mask Replication The lifetime of a mask is anticipated to be ~ 50,000 100,000 imprints An e-beam written master mask will cost ~ $500K If you wanted to print 1M wafers, you would spend ~ $500M on masks

More information

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross Materials Chemistry, LLC 1 Polymers in the Electronic Industry Enabling Materials Active Materials?

More information

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Douglas J. Resnick, Gaddi Haase, Lovejeet Singh, David Curran, Gerard M. Schmid, Kang Luo, Cindy Brooks, Kosta Selinidis,

More information

Design Studies For The LCLS 120 Hz RF Gun Injector

Design Studies For The LCLS 120 Hz RF Gun Injector BNL-67922 Informal Report LCLS-TN-01-3 Design Studies For The LCLS 120 Hz RF Gun Injector X.J. Wang, M. Babzien, I. Ben-Zvi, X.Y. Chang, S. Pjerov, and M. Woodle National Synchrotron Light Source Brookhaven

More information

Measurement of Microdisplays at NPL

Measurement of Microdisplays at NPL Conference on Microdisplays Measurement of Microdisplays at NPL Christine Wall, Dr Julie Taylor, Colin Campbell 14 th Sept 2001 Overview Displays measurement at NPL Why measure microdisplays? Measurement

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

COPYRIGHTED MATERIAL. Introduction. 1.1 Overview of Projection Displays

COPYRIGHTED MATERIAL. Introduction. 1.1 Overview of Projection Displays 1 Introduction 1.1 Overview of Projection Displays An electronic display is a device or system which converts electronic signal information representing video, graphics and/or text to a viewable image

More information

Operation of CEBAF photoguns at average beam current > 1 ma

Operation of CEBAF photoguns at average beam current > 1 ma Operation of CEBAF photoguns at average beam current > 1 ma M. Poelker, J. Grames, P. Adderley, J. Brittian, J. Clark, J. Hansknecht, M. Stutzman Can we improve charge lifetime by merely increasing the

More information

Compression of digital hologram sequences using MPEG-4

Compression of digital hologram sequences using MPEG-4 Compression of digital hologram sequences using MPEG-4 Emmanouil Darakis a and Thomas J. Naughton a,b a Department of Computer Science, National University of Ireland - Maynooth, County Kildare, Ireland;

More information

SUMMARY OF CHANGES LIST OF DUAL-USE GOODS & TECHNOLOGIES AND MUNITIONS LIST. as of 7 December 2017

SUMMARY OF CHANGES LIST OF DUAL-USE GOODS & TECHNOLOGIES AND MUNITIONS LIST. as of 7 December 2017 SUMMARY OF CHANGES LIST OF DUAL-USE GOODS & TECHNOLOGIES AND MUNITIONS LIST as of 7 December 2017 The following table outlines the changes made by the 2017 Plenary to the 2016 List of Dual- Use Goods &

More information

All-in-one solutions For applications with imaging challenges, we offer a wide range of TDI solutions.

All-in-one solutions For applications with imaging challenges, we offer a wide range of TDI solutions. Speed Sensitivity Resolution All-in-one solutions For applications with imaging challenges, we offer a wide range of solutions. high-throughput Imaging in Low Light Applications New Generation of Solves

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies V. Twines, C. Archambuult, B. Hinschberger, E. Rouchouze ST Microelectronic Crolles

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

DLP Discovery Reliability Application Note

DLP Discovery Reliability Application Note Data Sheet TI DN 2510330 Rev A March 2009 DLP Discovery Reliability Application Note May not be reproduced without permission from Texas Instruments Incorporated IMPORTANT NOTICE BEFORE USING TECHNICAL

More information

MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers

MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers Picture: Sony From Technologies to Market MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers SEMICON EUROPA Jean-Christophe ELOY - CEO - Yole Développement 2017 AGENDA

More information

These are used for producing a narrow and sharply focus beam of electrons.

These are used for producing a narrow and sharply focus beam of electrons. CATHOD RAY TUBE (CRT) A CRT is an electronic tube designed to display electrical data. The basic CRT consists of four major components. 1. Electron Gun 2. Focussing & Accelerating Anodes 3. Horizontal

More information

Efficient Implementation of Neural Network Deinterlacing

Efficient Implementation of Neural Network Deinterlacing Efficient Implementation of Neural Network Deinterlacing Guiwon Seo, Hyunsoo Choi and Chulhee Lee Dept. Electrical and Electronic Engineering, Yonsei University 34 Shinchon-dong Seodeamun-gu, Seoul -749,

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Experimental Study on Dual-Wavelength Distributed Feedback Fiber Laser

Experimental Study on Dual-Wavelength Distributed Feedback Fiber Laser PHOTONIC SENSORS / Vol. 4, No. 3, 2014: 225 229 Experimental Study on Dual-Wavelength Distributed Feedback Fiber Laser Haifeng QI *, Zhiqiang SONG, Jian GUO, Chang WANG, Jun CHANG, and Gangding PENG Shandong

More information