Nano-Imprint Lithography Infrastructure: Imprint Templates

Size: px
Start display at page:

Download "Nano-Imprint Lithography Infrastructure: Imprint Templates"

Transcription

1 Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1

2 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images? Image Placement Can you place them where you want? Defectivity Can you meet the necessary defect spec Inspection Can you verify 2

3 Outline Introduction Building a nano-imprint template Processing/Inspection Defect Characterization Outlook Summary 3

4 Current use and capabilities Template quality today is able to be used for many applications LED, diffractive optics, MEMS, CMOS unit process development, dual damascene structures CD and depth control better than 10% Short term strategy is to build defect tolerant parts Imprint user development Template manufacturing development Vehicles for process and defect learning Drivers for improvements in cleaning, inspection and repair 4

5 Background: Added to a digital camera s CMOS/CCD image chip to improve optical collection efficiency Challenge: Patterning of high packing density aspheric lens arrays requiring no etching Micro Lens Arrays Template Imprinted Lens Array 5

6 What affects template quality Three main issues to template production Lithography resolution Quartz etch uniformity Backend verification Additional issues include pedestal etch platform and template dicing/polishing Ultimate GOAL CMOS quality templates 6

7 4 templates on a standard 6025 photomask substrate (top-down view) 6025 Fused Silica Substrate 65 mm x 65 mm Template Active Imprint Area: 25 mm x 25 mm 7

8 Typical Template process flow Binary Mask, 1st Resist Chrome Quartz Expose Develop Etch Blank - STD Binary Material -Expose E-Beam or ALTA 3500 DRY CR Etch 2nd Level Write Write Template Self Aligned transfer -Re-Coat resist -Expose Develop Depth CD Spec -Chrome Etch to remove Field Chrome -Pedestal and Moat Etch -Quartz Dry Etch 200 nm +/- 25 nm -I-Line Resist non-critical -ALTA 3500 expose non-critical +/- 0.5 um -Wet Chrome etch -Wet or Dry Qtz Etch 15 um deep, +/- 0.1 um -Final Chromeless S-FIL Template -Strip Resist and Chrome -Dice to final Template dimensions 8

9 CMOS logic pattern Thru quartz etch LER transfers from resist to quartz Commercially available mature PCAR 9

10 Photronics Contacts Test vehicle designed to have range from fully dense to fully isolated contacts and SRAM and DRAM arrays Designed for VSB 60, 70 and 80nm 10

11 Minimum Contact Experiments 50nm 65nm 70nm Contacts etched into 15nm Chrome, Etched to 100nm depth in quartz 11

12 Imprinted Features This space measures ~56nm The line measures ~95nm DNP Imprint Results M1 70nm Logic 80nm 12

13 Faithful Qz etch profiles 13

14 Imprint results Contact Test 50, 60, 65nm etched contacts 14

15 Image Placement Template: 25 mm x 25 mm Uncorrected Corrected Materials: Thin PCAR/15 nm Cr Writing Tool: NuFlare

16 Cleaning Current process for templates Similar to AAPSM clean process Spin/spray process Using standard clean chemistry New fixtures designed for 65mm form factor Limit of cleaning capability for templates not known yet May require adoption of new clean techniques developed for EUV blank cleaning Must be able to remove particles << MFS on template 16

17 Current Status of Inspection KLA 5XX system used to inspect templates through the manufacturing process 6x6 form factor Cr etch, quartz etch, mesa etch 65mm form factor dice and polish, clean, use Feature sizes inspected are on the order of standard reticle defect sizes 17

18 Template defects (KLARITY Defect) 18

19 SEM Images of Template Defects found on 576 inspection 19

20 Defect Characterization and reduction Tomcat test vehicle Approach 270nm minimum feature size rule out false defects Inspect using KLA SLF 150nm pixel at each fabrication step to map defectivity Post Cr etch, Qz etch, Mesa etch, dice and polish, imprint As defectivity improves, move to smaller pixel sizes 20

21 Tomcat Process Route Template defect inspection ordered at four steps 1 st or Primary write (imprint pattern) 2 nd Level write (mesa) Dicing Prep Resist Cr Quartz/SiO Å 5000Å NTAR mm 15μm Litho PEB DEV Cr Etch Resist Strip Quartz Etch Coat Litho DEV Cr Strip BOE (Mesa) Resist Strip Coat Dice Resist Strip/Clean Cr Strip Clean Imprint Inspection Inspection Inspection Inspection Inspection 21

22 Tomcat Inspection after BOE etch Cause: pinholes in 2 nd level resist 22

23 es32 E-beam Inspection es32 released, in volume production Key features for potential imprint inspection application: Smaller pixel size (25nm) Configurable low beam current (1-25nA) with higher resolution MRI (master reference inspection aka golden die) Allows 2-die per template random inspection Stepping stone to possible D:DB MxB: context-based binning of defects Allows hot-spot areas to be binned and studied in more detail 23

24 Low-res review images of defects on Al-coated wafer 24

25 Low-res review images of defects on Al-coated wafer 25

26 High-res review images of defects on Al-coated wafer 26

27 High-res review images of defects on Al-coated wafer 20nm 20nm 27

28 Resist wafer: Inspection defect map and swath image 28

29 Resist defects: low-res review es32 E-beam Inspection 29

30 Resist defects: high-res review es32 E-beam Inspection 30

31 E-beam Die-to-Database Inspection Imprinted Test Patterns Tight spaces begin to bridge at 80 nm and 70 nm Clean 70 nm Metal 1 Pattern Pixel Pixel Address: 3 nm nm Threshold Criteria: nm nm 70 nm Logic Pattern 31

32 NGR2100 Inspection Results: Metal1 Logic nm 90 nm nm 70 nm nm nm 90 nm nm 70 nm nm

33 Direct Inspection of Fused Silica SEM 40 nm Metal 1 Test Pattern GDS Overlay Pixel Pixel Address: 3 nm nm Threshold Criteria: nm nm 40 nm Programmed Defect 33

34 Direct Inspection of Fused Silica: Short SEM With GDS overlay 5 nm programmed defect and a 20 nm short 34

35 Before repair Rave LLC: Subtractive Repair Quartz Line Quartz defect After AFM repair 300 nm defect 50 nm defect 50 nm trench 35

36 NaWoTec: Additive SiO 2 Repair 200 nm 100 nm Before Repair After Repair 36

37 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images? Yes with spot beam tools Image Placement Can you place them where you want? Yes -shown on current generation tools Defectivity Can you meet the necessary defect spec More work necessary must use techniques already developed for other technologies Inspection Can you verify -Yes Secondary and direct inspection quality needs to be quantified. Improvements in inspection speed 37

38 Summary Quartz templates are being built today Resolution on VSB tools ~ 50nm available Gaussian beam ~ 20nm Work has started on defect source analysis From binary write thru imprint and clean Defect reduction methodology will improve overall process Inspection and cleaning remain the biggest challenges KLA and NGR have demonstrated early e-beam inspection capability Quartz repair both additive and subtractive has been demonstrated 38

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

~ 50, ,000 ~ $500K

~ 50, ,000 ~ $500K Mask Replication The lifetime of a mask is anticipated to be ~ 50,000 100,000 imprints An e-beam written master mask will cost ~ $500K If you wanted to print 1M wafers, you would spend ~ $500M on masks

More information

The Challenges in Making NIL Master Templates

The Challenges in Making NIL Master Templates The Challenges in Making NIL Master Templates Naoya Hayashi Dai Nippon Printing Co., Ltd. A Member of the ebeam Initiative 2011 Dai Nippon Printing Co.,Ltd. All Rights Reserved. OUTLINE Recent Progress

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

EUV Blank Inspection

EUV Blank Inspection EUV Blank Inspection J.H. Peters* a, C. Tonk a, D. Spriegel b, Hak-Seung Han c, Wonil Cho c, Stefan Wurm d a Advanced Mask Technology Center, Raehnitzer Allee 9, 01109 Dresden, Germany; b Siemens AG, Corporate

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Lensed Fibers & Tapered Ends Description:

Lensed Fibers & Tapered Ends Description: Lensed Fibers & Tapered Ends Description: LaseOptics Corporation ( LaseOptics ) has been producing next generation optical lensed fibers. LaseOptics Lensed Optical Fibers technology is proprietary integrated

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , , US-Rev3 26 March 1997 With respect to any product described in or for Attachment B to the Annex to the Ministerial Declaration on Trade in Information Technology Products (WT/MIN(96)/16), to the extent

More information

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec SEMICON Europe October 2009 Pushing Lithography to the Limits imec 2009 1 Alternative double patterning processes : ready for (sub) 32nm hp? P. Wong, M. Maenhoudt, D. Vangoidsenhoven, V. Wiaux Outline

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing 1 Oliver D. Patterson, 1 Xing J. Zhou, 1 Rohit S. Takalkar, 1 Katherine V. Hawkins, 1 Eric H.

More information

Introduction and recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000 Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative NFT s mask writer

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

ABSTRACT 1 INTRODUCTION

ABSTRACT 1 INTRODUCTION Novel lithography technique using an ASML Stepper/Scanner for the manufacture of display devices in MEMS world ASML US, Inc Special Applications, 6580 Via Del Oro San Jose, CA 95119 Keith Best, Pankaj

More information

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles 2 OUTLINE Lithography

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Douglas J. Resnick, Gaddi Haase, Lovejeet Singh, David Curran, Gerard M. Schmid, Kang Luo, Cindy Brooks, Kosta Selinidis,

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Electron Beam Technology

Electron Beam Technology Electron Beam Technology Speed up! High Performance Electron Beam Lithography dedicated electron beam lithography To bridge cutting-edge research and nanofabrication, a dedicated nanolithography solution

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA Abstract The Grating Light Valve (GLV ) technology is being used in an innovative system architecture to create

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr March 2011 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency

Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency Fabio Acerbi, Alberto Gola, Giovanni Paternoster, Claudio Piemonte, Nicola Zorzi http://iris.fbk.eu/silicon-photomultipliers

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Final report for Nanofabrication with Focused Ion and Electron beams course (SK3750) Amin Baghban June 2015 1- Introduction Thanks

More information

High performance optical blending solutions

High performance optical blending solutions High performance optical blending solutions WHY OPTICAL BLENDING? Essentially it is all about preservation of display dynamic range. Where projected images overlap in a multi-projector display, common

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross Materials Chemistry, LLC 1 Polymers in the Electronic Industry Enabling Materials Active Materials?

More information

Cisco Video Surveillance 6050 IP Camera Data Sheet

Cisco Video Surveillance 6050 IP Camera Data Sheet Data Sheet Cisco Video Surveillance 6050 IP Camera Data Sheet Product Overview The Cisco Video Surveillance 6050 IP Camera (shown in Figure 1) is a ruggedized, outdoor, high-definition video endpoint with

More information

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. INFN Genova: R.Beccherle, G.Darbo, G.Gagliardi, C.Gemme, P.Netchaeva, P.Oppizzi, L.Rossi, E.Ruscino, F.Vernocchi Lawrence Berkeley National

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Study of Timing and Efficiency Properties of Multi-Anode Photomultipliers

Study of Timing and Efficiency Properties of Multi-Anode Photomultipliers Study of Timing and Efficiency Properties of Multi-Anode Photomultipliers T. Hadig, C.R. Field, D.W.G.S. Leith, G. Mazaheri, B.N. Ratcliff, J. Schwiening, J. Uher, J. Va vra Stanford Linear Accelerator

More information

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version) Introduction to Micragem: A Silicon-on-Insulator Based Micromachining Process Report ICI-138 V3.0 (Beta version) December 14, 2004 Copyright 2004 Canadian Microelectronics Corporation This document was

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

FS3 Series Tri-Lens for SEOUL SEMICONDUCTOR Z-POWER P4 TM LEDs

FS3 Series Tri-Lens for SEOUL SEMICONDUCTOR Z-POWER P4 TM LEDs FS3 Series Tri-Lens for SEOUL SEMICONDUCTOR Z-POWER P4 TM LEDs High efficiency 3 beams available MR-16 size tri-lens The FS3 tri-lens offers MR16 size lenses specifically designed for the Seoul Semiconductor

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Instrument Status Review. GSC Meeting October 2009

Instrument Status Review. GSC Meeting October 2009 Instrument Status Review GSC Meeting October 2009 1 Quick Status NICI Campaign and Open Science going well Efficiency enhancements considered for 2 nd quarter 2010 Flamingos 2 Now undergoing on-site Acceptance

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd Illumination Challenges in Non- Industrial Vision Applications Simon Stanley Managing Director ProPhotonix IRL Ltd ProPhotonix designs and manufactures high-quality LED systems and laser modules for the

More information

Layout Decompression Chip for Maskless Lithography

Layout Decompression Chip for Maskless Lithography Layout Decompression Chip for Maskless Lithography Borivoje Nikolić, Ben Wild, Vito Dai, Yashesh Shroff, Benjamin Warlick, Avideh Zakhor, William G. Oldham Department of Electrical Engineering and Computer

More information

Polygon Scanners Capabilities, Applications and System integration. considerations

Polygon Scanners Capabilities, Applications and System integration. considerations Workshop ALPS Swissphotonics - APPOLO Polygon Scanners Capabilities, Applications and System integration considerations Lars Penning CEO Next Scan Technology Innovating and leading polygon scanner technology

More information

3M 8900 Single-mode SC Crimplok Connector

3M 8900 Single-mode SC Crimplok Connector 3M 8900 Single-mode SC Crimplok Connector Technical Report June 1999 80-6110-1441-8 1 1.0 Product Description & Requirements The 3M SC Single-mode Crimplok Connector is designed to provide the customer

More information

The hybrid photon detectors for the LHCb-RICH counters

The hybrid photon detectors for the LHCb-RICH counters 7 th International Conference on Advanced Technology and Particle Physics The hybrid photon detectors for the LHCb-RICH counters Maria Girone, CERN and Imperial College on behalf of the LHCb-RICH group

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

High ResolutionCross Strip Anodes for Photon Counting detectors

High ResolutionCross Strip Anodes for Photon Counting detectors High ResolutionCross Strip Anodes for Photon Counting detectors Oswald H.W. Siegmund, Anton S. Tremsin, Robert Abiad, J. Hull and John V. Vallerga Space Sciences Laboratory University of California Berkeley,

More information

Developing an AFM-based Automatic Tool for NanoAsperity Quantification

Developing an AFM-based Automatic Tool for NanoAsperity Quantification Developing an AFM-based Automatic Tool for NanoAsperity Quantification September 18, 2008 Sergey Belikov*, Lin Huang, Jian Shi, Ji Ma, Jianli He, Bob Tench, and Chanmin Su Veeco Instruments Inc., Santa

More information

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. June 2011 Volume 27, Issue 6 Paper 7970-8 Progress in Mask Replication using Jet and Flash

More information

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution S. Angles STMicroelectronics R. Vallauri Technoprobe Background Overview ST Qualification of TPEG MEMS T3 probing technology

More information

BTC and SMT Rework Challenges

BTC and SMT Rework Challenges BTC and SMT Rework Challenges Joerg Nolte Ersa GmbH Wertheim, Germany Abstract Rising customer demands in the field of PCB repair are a daily occurrence as the rapid electronic industry follows new trends

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Carl Taussig, Richard E. Elder, Warren B. Jackson, Albert Jeans, Mehrban Jam, Ed Holland, Hao Luo, John Maltabes, Craig Perlov,

More information

Broken Scan Chains Routinely Debugged with New Optical Technique

Broken Scan Chains Routinely Debugged with New Optical Technique t a m V- 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 OSCILLOSCOPE Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input,

More information

Comparison of SONY ILX511B CCD and Hamamatsu S10420 BT-CCD for VIS Spectroscopy

Comparison of SONY ILX511B CCD and Hamamatsu S10420 BT-CCD for VIS Spectroscopy Comparison of SONY ILX511B CCD and Hamamatsu S10420 BT-CCD for VIS Spectroscopy Technical Note Thomas Rasmussen VP Business Development, Sales, and Marketing Publication Version: March 16 th, 2013-1 -

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2011 - Version 1 Written by: Sylvain HALLEREAU

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO1 1322 TITLE: Amorphous- Silicon Thin-Film Transistor With Two-Step Exposure Process DISTRIBUTION: Approved for public release,

More information

The Silicon Pixel Detector (SPD) for the ALICE Experiment

The Silicon Pixel Detector (SPD) for the ALICE Experiment The Silicon Pixel Detector (SPD) for the ALICE Experiment V. Manzari/INFN Bari, Italy for the SPD Project in the ALICE Experiment INFN and Università Bari, Comenius University Bratislava, INFN and Università

More information

Controlling Linewidth Roughness in Step and Flash Imprint Lithography

Controlling Linewidth Roughness in Step and Flash Imprint Lithography Controlling Linewidth Roughness in Step and Flash Imprint Lithography Gerard M. Schmid a, Niyaz Khusnatdinov a, Cynthia B. Brooks a, Dwayne LaBrake a, Ecron Thompson a, Douglas J. Resnick a *, Jordan Owens

More information

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining Pressure sensor Surface Micromachining Deposit sacrificial layer Si PSG By HF Poly by XeF2 Pattern anchors Deposit/pattern structural layer Etch sacrificial layer Surface micromachining Structure sacrificial

More information

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Etching Part 2 Chapter : 16 Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra, Norwegian University of Science and Technology ( NTNU ) 2 Introduction

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES This article has been peer reviewed and accepted for publication in JMST but has not yet been copyediting, typesetting, pagination and proofreading process. Please note that the publication version of

More information

FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem.

FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem. FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem. FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem. EU H2020 FLEXTRANs Grant Objectives A 24 month project (started September 2016) (Grant

More information

Automatic Defect Recognition in Industrial Applications

Automatic Defect Recognition in Industrial Applications Automatic Defect Recognition in Industrial Applications Klaus Bavendiek, Frank Herold, Uwe Heike YXLON International, Hamburg, Germany INDE 2007 YXLON. The reason why 1 Different Fields for Usage of ADR

More information

Non-Invasive Energy Spread Monitoring for the JLAB Experimental Program via Synchrotron Light Interferometers

Non-Invasive Energy Spread Monitoring for the JLAB Experimental Program via Synchrotron Light Interferometers Non-Invasive for the JLAB Experimental Program via Synchrotron Light Interferometers P. Chevtsov, T. Day, A.P. Freyberger, R. Hicks Jefferson Lab J.-C. Denard Synchrotron SOLEIL 20th March 2005 1. Energy

More information

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process PIERS ONLINE, VOL. 3, NO. 2, 27 184 De-embedding Techniques For Passive Components Implemented on a.25 µm Digital CMOS Process Marc D. Rosales, Honee Lyn Tan, Louis P. Alarcon, and Delfin Jay Sabido IX

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information