Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Size: px
Start display at page:

Download "Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,"

Transcription

1 Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Abstract With the reduction of feature sizes, more sources of variability arise, leading to extra timing margins built into designs, greatly affecting the overheads that designers must include into their circuits. There are several approaches to deal with this problem, with different ways of optimizing the system in real time to achieve the best performance or minimum power while meeting the required specifications. We will be exploring different timing error detection architectures which use logic to detect when data does not meet necessary setup time constraints, and see how these different architectures detect and sometimes even correct the errors. It is often difficult to directly compare these architectures, but we plan to see how they can minimize power for a given throughput, and potentially increase the operating frequency while still being resilient to errors, regardless of the nature of those errors. The goal is to try and specify which architectures are better for a given set of operating conditions. 1. Introduction There is an ever increasing demand for low power circuits in the current market due to portable, ubiquitous electronic devices that are mostly battery powered. One approach to achieving the lowest power consumption is to reduce the voltage supply as low as possible. But, with large variations due to process corners, designs are often stuck at voltages projected for the worst case plus margin in order for the circuit to function with a high parametric yield. One recent, growing field to mitigate this problem is resilient, or timing error detection circuits. [1-5] These circuits, which are more robust flip-flops and latches, attempt to detect [1,3-5], and in some cases correct [3,5] (through different methods), circuit timing errors. These circuits attempt to detect setup time failures through various methods. The point at which these circuits start to fail timing constraints is called the point of first failure (PoFF) and often times these adaptive schemes that change the supply voltage and/or the frequency of operation can push the design to that point. Some architectural changes enable these designs to operate correctly beyond that point by cleverly borrowing time from other paths or replaying instructions when an error occurs. Timing error detection circuits (TED) provide an interesting field for low power research. With TED circuits, it can be possible to reduce the voltage supply for optimum power savings. In this project, we plan on investigating several different TED circuits. We plan on comparing their effectiveness in identifying the lowest voltage of operation of a given circuit and their effectiveness in identifying errors in general within their respective error detection windows. For our project, we plan on comparing several different TED architectures. Since not all TED architectures involve error correction, we will focus solely on the ability for TED circuits to recognize timing errors and which TED circuits allow for the lowest voltage scaling. We will also compare the power usage of these circuits at both the nominal and the lowest operating voltage. 2. Timing Error Detection Architectures Some of the early advancements in the area of TED circuits are summarized well in [4]. There

2 are 3 main different types of error detection circuits that are covered: the Razor flip-flop (RFF), transition detector with time borrowing (TDTB), double-sampling with time borrowing (DSTB). Figure 1: Architectures shown in [4] The RFF works by comparing the output of the metastable flip-flop with a latch to try and detect the situation when the data changes after the rising edge of the clock, causing a setup time violation that makes the circuit fail timing and work improperly. The transition detector circuit operates on a different principle, where it compares data with a delayed version of itself, and with proper timing (using the clock signal) the window of transitions can be controlled to catch a certain range of setup time violations. While this approach has a somewhat limited window of operation, it can be designed in such a way that the window of operation greatly increases the probability of timing violations to be caught. To say it a different way, if the timing errors of the circuits under test are more than the designed detection window, then the circuit is not operating within the specification and there is nothing to do except slow down the operation. The DSTB circuit works similar to the TDTB, replacing the transition detector with a shadow latch. These circuits simply detect when the error occurs, and in these implementations do not have any means of changing the circuit in a way that when an error occurs the system can gracefully recover from it. One example of an architectural means of such correction is presented in [1]. Here they show an ARM ISA processor with Razor flip-flops, with a closed loop correction scheme in an attempt to correct any errors that would occur during normal operation. This correction is done using dynamic frequency scaling (DFS) implemented on chip, as well as a power virus which artificially causes voltage droops in the supply to simulate a typical workload of a microprocessor. They also have a dynamic voltage controller, which is capable of changing the supply voltage to minimize power while maintaining a fixed throughput. This dynamic voltage scaling (DVS) allows the processor to minimize its power consumption while still maintaining a constant throughput without the need for overdesign in the timing margins of the critical paths. The benefits from DVS can be used in any generic architecture, so we plan to explore how changing the supply voltage affects the different TED architectures in terms of error detection windows and power consumption. The minimization of power that they are able to achieve is a strong driving force to make sure that these circuits are able to operate across a range of voltages without degrading the accuracy of error detection. As shown below, for a fixed throughput, the circuit can be optimized to reduce its energy very effectively if there are methods of detecting how much voltage is necessary to meet the required clock period. As noted in figure 2, this technique can also enable chips to work that previously would fail under nominal conditions, so this technique has many useful properties. Figure 2: Power Savings using DVS from [1] Another approach to both error detection and correction is to employ the use of time borrowing in order to artificially extend the

3 clock period to account for minor timing delays that would stop the circuit from functioning properly [3]. The TIMBER (TIMe Borrowing and Error Relaying) flip-flop has the option of using different delayed clocks to add extra time to finish the logic that needs more time. The TIMBER latch has similar options, and has the ability to operate as a master-slave latch pair if configured properly. One newer implementation of these timing error detection schemes is an adaptation of previous designs [1,4-5] in a slightly different context, where errors are propagated through the circuit until the system fully recovers and normal operation can continue. [5] This small penalty decreases throughput slightly in order to operate beyond the PoFF, which means that the circuit will operate, albeit slightly slower occasionally, at a point when it would normally cause errors that would corrupt the program s operation. While this is a novel idea, it is really just an application of previous work and as such will not be tested in this project simply due to time and complexity constraints. Some groups have even started the work of integrating the timing error detection circuitry within the automated design flows [2]. This has shown improvements on the requirements for the number of error detection elements used for the types of designs that only go after the critical paths, reducing both the power and area overhead of the detection and correction schemes. While this work is still being fully optimized, promising first steps have been taken and it is our belief that it will be integrated in all design automation tools in the near future. 3. Analysis: For the Timber flip-flop shown above, the timing window is given by: T!"#$%! = T!"!!" t!"# t!"!# The delay in the time borrowing line is used, as well as the error detection to generate an extra amount of time to enable the flip-flop to detect and correct errors. This generated delayed clock has to pass through a 4-input multiplexer and one nand gates before the logic that combines the clock and the shifted clock to generate a new gating signal. That data has to make it through the transmission gate while it is still open, and the authors in [3] claim that they avoid metastability by using a level sensitive sampling element, but if you are truly operating on the edge of the error detection window there could be a problem with metastability.

4 implemented this delay using a chain of inverters that were all sized according to the unit cell for that particular circuit. There is a tradeoff between accuracy of that delay element, power it takes to generate, and how much delay is actually needed, which are all up to the designer to tailor to their particular application. For the Timber latch, the timing window is given by: T!"#$%! = T!"# T!" t!"!# Since it is a latch, the transparency when the clk is high is part of the error detection window. Enabling the latch turns off F, and makes the latch use the path through the transmission gate controlled by the signal L. The generation of that signal goes through a delay of an inverter chain, represented here by T!", and is combined with the original clock signal to produce a shifted window in which to detect timing errors. For the Razor flip-flop, the timing window is: T!"#$%! = T!"#$% 2 t!"# t!"# The window gets shortened on both sides of the delay window by the delay of the dynamic OR gate, since the edges need to propagate when both nck and CK are high in order to pull down the DYN node. The length of the delay line plus the inverter set the overlap between nck and CK, and therefore gate the amount of time when an error can be detected. We For the Bubble Razor design, the window is: T!"#$%! = T!"# t!"# t!"#$%&'%%'($ t!"# The latch is nominally transparent when clk is high, and the error signals have to pass through an exclusive-or gate, a transmission gate and an inverter to see the output (following the most critical path) so the window is fairly close to the time in which the clock is high. This allows both normal latch operation in which consecutive sequential blocks are clocked by opposite phases, or pulsed clocks that would enable the circuit to operate as a flip-flop without a hard rising edge. 4. Test Circuits and Design Procedure 4.1 Sizing In an attempt to fairly compare the different circuits explained above, we came up with the following sizing criteria. In the 32nm predictive technology, we first simulated designs to find the optimal pmos to nmos ratio, which we found to be about 1.2:1 for this technology node. We found the stack effect to be negligible in these transistor models, most likely because they are

5 the predictive technology models and might not model this correctly. Using this sizing information, we sized the gates of each circuit to produce the same clock to q delay within 0.1%. This was an attempt to normalize the flipflops/latches to make them interchangeable. This allows the same critical path of logic to be able to operate at the same frequency with any of the circuits above, enabling all of the circuits to operate under the exact same conditions. 4.2 Error Detection Window After the sizing was completed, we setup and ran a testbench to measure the error detection/correction window for each of the designs. The edge of the input data was swept around the rising edge of the clock, and the output was monitored to see if it detected and/or corrected the error that was introduced. This test was performed across a range of supply voltages to determine the minimum operating voltage, which was determined to be the supply voltage at which the window of detection shrinks by 10% of the nominal value. Since the latch timing is a function of the clock period, it should be noted that the simulations used a 50% duty cycle clock operating at 200MHz. 4.3 Power Consumption After sizing the gates in the different architectures and determining the minimum supply at which they can function normally, we found their overall power consumption, an important metric given that one of the main focus of error detecting flip flops is the reduction of power. For comparison, we found the power consumed by the flip flops at their lowest operating point given for their given sizing, as well as the power consumption at 1V, for a nominal comparison. It is important to compare these circuits at a nominal voltage as well as their lowest operating voltage for functionality. It is possible that in a given circuit implementation, it would be difficult to synthesize the circuit with a different supply then the rest of the circuit. 5. Results A summary of our results is presented in Table 1. The Figure Of Merit (FOM) of each timing error detection architecture was given by the formula: FOM Note: this figure of merit may not be a very valid comparison between a latch and a flip-flop, but we believe it is a fair comparison between flipflops themselves, and between latches themselves. They have been scaled for readability. All tests are at 200MHz with a load of 100fF of capacitance. Clk->q delay Timber Latch Razor Latch Timber FF Razor FF 300.6p 300.7p 300.6p uW 23.8uW 32.03uW 43.9uW V!"# 810mV 720mV 750mV 780mV Nominal T!"#$%! 19.1uW 14.1uW 17.63uW 26.5uW 2.31n 2.43n 37p 65p FOM Table 1 For a circuit whose main function is to detect errors, the most important figure of comparison is the window in which the different circuits are able to detect said errors. In both cases, the razor design was capable of detecting an error for a longer period of time (given our attempts at normalizing the circuits for comparison). The latches were capable of detecting an error for a longer period of time, but this was a function of being a latch as opposed to a flip flop, not a function of the comparison circuit. The Razor flip flop could detect an error 18ps longer than the Timber design, and the Razor latch could detect an error 22ps longer than the Timber latch design, both advantages.

6 The circuits all proved that they could operate at lower voltages, but by dropping the supply voltage the delay in the critical path increases, so the timing margin necessary to ensure proper operation would only increase, meaning the circuit overall would operate worse under these conditions. The designs are very close in terms of minimum operating voltage. The razor latch operates at the lowest supply voltage, at 720mV. The Timber FF operates at 750mV, the lower of the two flip flops, but not much lower than the Razor flip-flop, which could operate at 780mV. The Timber latch was by far the worse, with a lowest possible supply voltage of 810mV. An interesting function of the latches was the ability to operate at increasingly lower supply voltages, with a decrease in the time detection window possible. This feature is shown below. Window Width (ns) Supply Voltage (V) Timber Latch Bubble Razor Latch Error Detection Window Versus Supply Voltage Power consumption is an important measured value. For a nominal supply voltage, the Timber latch consumed 27.5% more power than the Razor latch. As these supplies were scaled down to their minimum supply (without loss of data detection window) the discrepancy only became larger; the timber latches power consumption was up to 37% more than the razor latch. Given that the razor can operate at 90mV lower supply than the timber, one would agree that these results follow supply scaling. For the flip-flop designs, the Timber design consumed less power than the razor design. The razor design consumed 37% more at the nominal supply, and consumed 50% more at the lowest possible operating supply. Given that their minimal supply is similar, this discrepancy is very large. 6. Conclusion Through the various metrics proposed in the previous section, such as power and timing overheads, we hope to gain a grasp on the various capabilities of the circuits under real device deployment. These metrics attempt to compare the different designs, although these comparisons are not entirely straightforward due to the difficulty of ensuring that it is a fair comparison. Depending on the operating conditions and the design itself, different architectures have their own strong points, so it is up to the designer to figure out what is the best choice for their particular design point, given the advantages and disadvantages of these different circuits. This is a developing field, and there are many future designs to come. REFERENCES [1] D. Bull et al A power-efficient 32b ARM ISA processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation, ISSCC 2010 [2] M. Kurimoto et al Phase-adjustable error detection flip-flops with 2-stage hold-driven optimization, slack-based grouping scheme and slack distribution control for dynamic voltage scaling, ACM Trans. Des. Autom. Electron. Syst., vol. 15, no. 2, pp. 17:1 17:17, Mar [3] M. Choudhury et al TIMBER: time borrowing and error relaying for online timing error resilience, Design, Automation and Test in Europe 2010 [4] Bowman, K.A. et al "Energy-Efficient and Metastability-Immune Timing-Error Detection and Instruction-Replay-Based Recovery Circuits for Dynamic-Variation Tolerance," ISSCC [5] M. Tojtik, et al Bubble Razor: An Architecture Independent Approach to Timing- Error Detection and Correction, ISSCC 2012.

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction 1 Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction Matthew Fojtik, David Fick, Yejoong Kim, Nathaniel Pinckney, David Harris, David Blaauw, Dennis Sylvester mfojtik@umich.edu

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor

SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor LETTER IEICE Electronics Express, Vol.14, No.8, 1 12 SGERC: a self-gated timing error resilient cluster of sequential cells for wide-voltage processor Taotao Zhu 1, Xiaoyan Xiang 2a), Chen Chen 2, and

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

EDSU: Error detection and sampling unified flip-flop with ultra-low overhead

EDSU: Error detection and sampling unified flip-flop with ultra-low overhead LETTER IEICE Electronics Express, Vol.13, No.16, 1 11 EDSU: Error detection and sampling unified flip-flop with ultra-low overhead Ziyi Hao 1, Xiaoyan Xiang 2, Chen Chen 2a), Jianyi Meng 2, Yong Ding 1,

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies

Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies Stefanos Valadimas Department of Informatics and Telecommunications National and Kapodistrian University

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

32 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 1, JANUARY /$ IEEE

32 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 1, JANUARY /$ IEEE 32 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 1, JANUARY 2009 RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance Shidhartha Das, Member, IEEE, Carlos Tokunaga, Student Member,

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION Shohaib Aboobacker TU München 22 nd March 2011 Based on Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation Dan

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing q Two-Phase Clocking 2 Sequencing q Combinational logic output depends

More information

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating Research Journal of Applied Sciences, Engineering and Technology 7(16): 3312-3319, 2014 DOI:10.19026/rjaset.7.676 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented. Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks A Thesis presented by Mallika Rathore to The Graduate School in Partial Fulfillment of the Requirements

More information

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs ECEN454 igital Integrated Circuit esign Sequential Circuits ECEN 454 Combinational logic Sequencing Output depends on current inputs Sequential logic Output depends on current and previous inputs Requires

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm Overview: In this assignment you will design a register cell. This cell should be a single-bit edge-triggered D-type

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 12: Divider Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Divider Basics Dynamic CMOS

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

Lecture 21: Sequential Circuits. Review: Timing Definitions

Lecture 21: Sequential Circuits. Review: Timing Definitions Lecture 21: Sequential Circuits Setup and Hold time MS FF Power PC Pulsed FF HLFF, SFF, SAFF Source: Ch 7 J. Rabaey notes, Weste and Harris Notes Review: Timing efinitions T C : Propagation elay from Ck

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and How to Break Them) Prof. Todd Austin Advanced Computer Architecture Lab University of Michigan austin@umich.edu Once upon a time 1 Rules of Low-Power Design P = acv

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization Clock - key to synchronous systems Topic 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

EE-382M VLSI II FLIP-FLOPS

EE-382M VLSI II FLIP-FLOPS EE-382M VLSI II FLIP-FLOPS Gian Gerosa, Intel Fall 2008 EE 382M Class Notes Page # 1 / 31 OUTLINE Trends LATCH Operation FLOP Timing Diagrams & Characterization Transfer-Gate Master-Slave FLIP-FLOP Merged

More information

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization Clock - key to synchronous systems Lecture 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

Digital System Design

Digital System Design Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/courses/ensc350 Simon Fraser University Slide Set: 8 Date: February 9, 2009 Timing

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

CMOS Latches and Flip-Flops

CMOS Latches and Flip-Flops CMOS Latches and Flip-Flops João Canas Ferreira University of Porto Faculty of Engineering 2016-05-04 Topics 1 General Aspects 2 Circuits based on positive feedback 3 Circuits based on charge storage João

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

CSE115: Digital Design Lecture 23: Latches & Flip-Flops Faculty of Engineering CSE115: Digital Design Lecture 23: Latches & Flip-Flops Sections 7.1-7.2 Suggested Reading A Generic Digital Processor Building Blocks for Digital Architectures INPUT - OUTPUT Interconnect:

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing CPE/EE 427, CPE 527 VLSI esign I Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) Combinational

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations

Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations Christophe Giacomotto 1, Nikola Nedovic 2, and Vojin G. Oklobdzija 1 1 Advanced Computer Systems Engineering Laboratory,

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Design of Low Power Universal Shift Register

Design of Low Power Universal Shift Register Design of Low Power Universal Shift Register 1 Saranya.M, 2 V.Vijayakumar, 3 T.Ravi, 4 V.Kannan 1 M.Tech-VLSI design, Sathyabama University, Jeppiaar Nagar, Rajiv Gandhi Salai, Chennai 119 2 Assistant

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 Lecture 9: TX Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Next

More information

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod

More information

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay)  CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 7 (07 Feb 2008) 1 Announcement 2 1 Combinational vs. Sequential Logic Combinational Logic Memoryless Outputs

More information

Product Level MTBF Calculation

Product Level MTBF Calculation 2014 Fifth International Conference on Intelligent Systems, Modelling and Simulation Product Level MTBF Calculation Ang Boon Chong easic Corp bang@easic.com Abstract Synchronizers are used in sampling

More information

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing Traversing igital esign EECS - Components and esign Techniques for igital Systems EECS wks 6 - Lec 24 Sequential Logic Revisited Sequential Circuit esign and Timing avid Culler Electrical Engineering and

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

Robust Synchronization using the Wagging Technique

Robust Synchronization using the Wagging Technique School of Electrical, Electronic & Computer Engineering Robust Synchronization using the Wagging Technique Mohammed Alshaikh, David Kinniment, and Alex Yakovlev Technical Report Series NCL-EECE-MSD-TR-2010-165

More information

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat.

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat. EE141-Fall 2010 Digital Integrated Circuits Lecture 24 Timing 1 1 Announcements Homework #8 due next Tuesday Project Phase 3 plan due this Sat. Hanh-Phuc s extra office hours shifted next week Tues. 3-4pm

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential Circuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking Clocked inverters James Morizio 1 Sequential Logic FFs

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

Introduction to Sequential Circuits

Introduction to Sequential Circuits Introduction to Sequential Circuits COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Introduction to Sequential Circuits Synchronous

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) S.Santhoshkumar, L.Saranya 2 (UG Scholar, Dept.of.ECE, Christ the king Engineering college, Tamilnadu, India, santhosh29ece@gmail.com) 2 (Asst. Professor,

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power EECS150 - Digital Design Lecture 17 - Circuit Timing March 10, 2011 John Wawrzynek Spring 2011 EECS150 - Lec16-timing Page 1 Performance, Cost, Power How do we measure performance? operations/sec? cycles/sec?

More information

Built-In Proactive Tuning System for Circuit Aging Resilience

Built-In Proactive Tuning System for Circuit Aging Resilience IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems Built-In Proactive Tuning System for Circuit Aging Resilience Nimay Shah 1, Rupak Samanta 1, Ming Zhang 2, Jiang Hu 1, Duncan

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Design and Analysis of Metastable-Hardened and Soft-Error Tolerant. High-Performance, Low-Power Flip-Flops

Design and Analysis of Metastable-Hardened and Soft-Error Tolerant. High-Performance, Low-Power Flip-Flops Design and Analysis of Metastable-Hardened and Soft-Error Tolerant High-Performance, Low-Power Flip-Flops David Li, David Rennie, Pierce Chuang, David Nairn, Manoj Sachdev Department of Electrical and

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information