Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory

Size: px
Start display at page:

Download "Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory"

Transcription

1 RPI Rensselaer Polytechnic Institute Computer Hardware Design ECSE 4770 Report Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory Name: Walter Dearing Group: Brad Stephenson David Bang Sung K Park TA: Chao Ling Group: 3A Date: 11/18/03 Instructor: John F. McDonald

2 Table of Contents ABSTRACT... 3 PARTS LIST... 3 DESCRIPTION OF INTERNAL CONTROLLER OPERATION... 4 INTRODUCTION... 4 SWITCH DEBOUNCING AND OTHER SWITCH CONSIDERATIONS... 4 POWER-UP RESET CIRCUITRY... 6 SA PULSE... 6 OUTPUT LATCHING... 7 STOPWATCH CONTROLLER / TIMING CONSIDERATIONS... 7 CONCISE DESCRIPTION OF DEBUGGING PROCESS / NECESSARY REVISIONS RICHARDS FLOW DIAGRAM STATE DIAGRAM FULL LOGIC SCHEMATIC CONCLUSION **INCLUDED IN APPENDIX XILINX LOGIC DIAGRAMS 2

3 Abstract This lab focuses on a Xilinx Richards Controller, a counter-based state machine implementation. The purpose is to download the design hardwired in lab two to a FPGA. The circuit should perform the same functions as in lab 2, but should be implemented strictly in software, namely Xilinx. Major considerations during the lab are timing and input/output. Logic analysis is also stressed. Analysis and debugging is a major part of any design project, and is no exception here. Parts List In Xlinx: 1 - X74_ Inverters 2 4 input AND gates 3 2 input OR gate 2 4 input NAND gate 4 2 input NAND gate 2 X74_42 2 X74_151 6 JK flip-flops 9 D flip-flops 4 Buffers 1 Clock Buffers 8 Output Buffers 5 Input Pads 8 Output Pads 4 Input Buffers 1 2 input AND gate Parts used for hard wired circuit: input NAND gate input AND gate HEX inverter input OR gate input NAND gate Monostable multivibrator Dual JK positive edge triggered flip-flop One-of-Eight Data Selector/Multiplexer BCD to decimal decoder Synchronous 4-bit counter Hex Schmitt-trigger inverters Misc. Resistors and Capacitors *every integrated circuit has a decoupling 0.1 µf capacitor connected to it. 3

4 Description of internal controller operation Introduction The Richards Controller required in this design is a priority controller which evaluates primary conditions first and then considers secondary conditions. These considerations lead to either the execution of primary functions or secondary functions, respectively. The implementation of this priority controller is generally the same as the dual decision controller implemented in Richards paper. The three major designs of the lab is the stopwatch controller, the controller power-up reset circuitry and the debouncing circuitry for the START/STOP and COUNTER/SPLIT switches. Switch Debouncing and Other Switch Considerations The switch debouncing circuit had to be changed from the hardwired version, since Xilinx didn t have any Schmitt trigger parts. To debounce the SS and CS switches in Xilinx, a double buffer implementation was used. This is done by running the circuit through two D-flip flops in series. Figure 1.1: Setup used to debounce SS and CS switches. What this does is actually sample the single every time the clock goes high. If it s sample twice as much as the clock (by running it through two flip-flops) a bounce will not make it through the circuit, thereby eliminating the bounce. 4

5 BEFORE AFTER Figure 1.2: The top graph shows the SS and CS switches output before the debounce circuitry was implemented and the bottom graph shows the switches after the debounce circuitry is implemented Also it was important to stop the controller from passing through two states with one button push. This could happen in any instance where two states that are right next to each other need the same condition to pass through it. For example in state one, SS is needed to pass through, and in state twob, SS is needed to pass through it. So with one SS push, the controller can pass through both. To get around this the following circuit was used, Figure 1.3: Circuit used to make sure the state machine doesn t jump states. Figure 1.4: Close-up of circuit component in Figure

6 Each JK flip flop is set to toggle on a rising clock edge. The way the circuit works is that each JK flip flops output, is fed into its corresponding condition on the mux. For example D1 is fed into condition 1 of the primary mux. The only way that a high can be outputted on the flip-flop is by giving it a low to high pulse (this is done by feeding the button push into the clk input of the flipflop). Now the only way the flip flop isn t clearing is if the controller is in the correct state needed for condition one. So this means that unless the button push happened during the correct state, the flip flop will not toggle, and the state will not accidentally pass through states it shouldn t. Even if the button is held for 30 seconds, it would traverse through states, since a rising edge is needed to output a high or a second button push. Power-up reset circuitry The power-up/reset button should be a button that turns the stopwatch on, but also, when pressed, returns the stopwatch to a known state. This is done by having the button keep a high state when normally open, and connects to ground when closed. This button will do a number of things. The time counter is cleared, enable is set low (by clearing the JK flip-flop keeping the state of enable), muxc is set high (by setting the JK flip-flop keeping the state of muxc high to display the counter display). It will also clear the state counter, so it resets the state back to state 0. vcc R1 1K vcc MuxC J Clk K POWER UP/RESET SWITCH vcc Enable J Clk K Time Counter Clear State Counter Clear Figure 2.1 : Schematic of the circuitry used to power up the circuit and reset the stopwatch. It should be noted that JK flip-flops are used to keep track of the current state of enable and muxc. They are set up to be toggle switches by tying the J input high and the K input low. The enable is cleared when the reset is asserted, and muxc is preset when the reset is asserted. More about this will be mentioned shortly. SA pulse The SA (store address) switch, which was used as an input to the state machine, came as a 10 ms pulse. It needed some noise filtering and was done once again by using double buffering [two D latches]. Whenever a user pressed an address switch, SA would go high for 10ms, but at the edges of the 10ms pulse, it was questionable to whether or not a valid address was available, so circuit design would be needed to allow only valid addresses to be read when a button was pushed. More about this will be mentioned shortly. 6

7 Output Latching Some of the signals sent into the stopwatch module needed to behave by toggling from one logic level to another, as opposed to a momentary state change. This was accomplished using generic JK flip flops. One signal that required this functionality was the counter enable signal. When the state machine enters into a state that should enable the stopwatch counter, the stopwatch should continue counting until leaving that state. To keep the stopwatch counting until further user interaction, the enable must be latched high indefinitely. The counter display (MUXC) also exhibits this same behavior. Figure 3.1 : Logic diagram of outputs and out latches. Stopwatch Controller / Timing Considerations The circuit design is basically a standard Richards Controller. A 4-bit state counter is used to keep track of the state, a primary and secondary multiplexer is used to check low and high priority inputs. In this design, no condition really has priority over the other one, and priority is given to a specified condition to simplify design. A 3 to 8 decoder is also used to perform the functions once the conditions hold true. NAND gates are used to allow two functions to set the same signal low. 7

8 Figure 3.2 : Logic diagram of primary and secondary decoders. Figure 3.3 : Logic diagram of muxes used to determine when conditions are met to proceed to the next state. The basic operation is as follows: the counter generates the initial state 0000 when the power on or reset switch is pressed. This state number, X, is passed to the primary multiplexer (mux) which will check the condition on the associated condition line. In this case, these conditions are simply the other three switch signals (SA, SS, CS). If the primary condition is not met, the strobe of the second mux is enabled, and the condition associated with state X is checked for its secondary condition. A successful mux compare with either the primary or secondary condition results in the enabling of the associated primary or secondary decoder. The state X is decoded by the decoder to enable (active low) the associated function. 8

9 Jump addresses are generated on the load inputs of the counter. These addresses are created using the function outputs of the decoders. When a function is triggered, it creates the next state address at the load address and also enables the load to take place. Figure 3.4 : Logic diagram of counter and load logic used to jump states. There is one special case of a function needing to be generated. State 3 has three conditions that it needs to check. In order to avoid the use of a third mux/decoder pair or a 16 state mux, we interpret a button push on either the secondary or tertiary condition to be a single event. This creates a decoder function that may be either the secondary or the tertiary function. In order to determine which is the correct function to generate, we simply NAND the inverted ambiguous function with the switch output (SA or SC). Since the switch is active high and the active low function is inverted, this gate produces a high output. This signal is inverted again to obtain the desired active low input at the load addresses. The operation of the stopwatch controller flow is quite simple. It can best be defined if it s explained state by state. Before any of the states are present, the controller is off. It has to be powered on by the power/reset button. Once this is pressed it goes to state zero, where it is waiting for its first condition. At this point the enable is not asserted, the mux is outputting the counter, and the read/write is set to read. It should be noted that the memory enable is tied low since it is always needed, and is pointless to turn it on and off. The condition for state zero is that SS(start/stop must be pressed). It waits until it is. Once SS is pressed, enable is asserted (set high). Now the controller is in state 1. At this point, two different things can happen. SA can be asserted, or SS can be pressed again. 9

10 If SA is asserted (which means an address button is pressed) it will correspondingly make addlatch go high. They are connected together, but have two D flip flops in between them. One reason why this is done is to debounce SA, if there was an noise from the signal. Figure 4.1: Schematic of the circuit used to control ADDLATCH. Another reason for using this setup is that ADDLATCH will essentially go from low to high, only two clock cycles after SA has been pushed. This is because the D latches will only latch the data on a rising clock edge. Since there are two D latches, two clock cycles are necessary to present the data from SA to ADDLATCH. This will ensure that ADDLATCH will only read data, when a valid address is available, no matter when SA is pressed during the clock cycle. This is because ADDLATCH has a low to high rising clock edge trigger. The following timing diagram establishes this fact. SA CLK MEMLATCH Figure 4.2 : Timing Diagram used to show the function of MEMLATCH. Once SA is pressed, the controller jumps to state seven. Once SA is low again, it returns to state one. Enable is counting the entire time. 10

11 Figure 4.3 : Timing Diagram used to show the outputs as the controller is going from state 7 to state 1 transitions. During this time memlatch is going low or writing. Also in state 1, SS can be pressed. When this happens, the enable is set low and the controller is moved to state 2. Now the counter is in state 2. Now two different things can happen. The SS button can be pressed or the CS (Counter / Split) button can be pressed. If SS is pressed, the counter is cleared and the controller jumps to state 6, where the enable toggle is set low. From state six, the counter returns to state one. Figure 4.4: Timing diagram showing the controller starting in state 0, going to state 1 by pressing SS, then moving to state 2 by pressing SS, and then taking its second priority option, jumping to state 6 and then automatically returning to state one. During this time the counter is cleared, and then enabled on the next clock cycle. Also in state 2 the CS button can be pressed. If it is, muxc is set low (using the flip-flop set-up as described previously) and the counter moves to state 3. In state 3, three different things can happen: SA can be asserted, CS can be asserted, and SS can be asserted. If CS is asserted, muxc is set high and the counter moves back to state two. 11

12 Figure 4.5: Timing diagram showing the controller in moving to state 3, taking one of its second priorities and moving back to state 2, while muxc is set high to display the counter output. The counter is then cleared. While still in state 3, if SS is pressed, muxc is set high, the display counter is loaded, and the counter is moved to state 6. In state 6, enable is automatically set high (with no condition needed). Now the counter is back at state 1. Figure 4.6: Timing diagram showing the controller in moving to state 3, taking one of its second priorities, and jumping to state 6 and then to state one, while loading the display with an address from memory, setting muxc high, then enabling the counter. Also in state 3, SA can be asserted. If it is, addlatch is set high in the same way it was in state 7(using the quarter clock cycle). The counter now is in state 4. Now the memory chips outputs the split time specified by the addlatch and automatically moves to state 5. In this state memlatch is set high to latch the data present at the output of the memory and automatically moves back to state 3. Figure 4.7: Timing diagram showing the controller in moving to state 3, then moving to state 4 when SA is asserted, and using the ¼ clock cycle implementation to read the address push, and then moving to state 5 and asserting memlatch and moving back to state 3. This is the entire state description of the controller. 12

13 The counter can be sent back to state 0, by pressing the reset switch, where it will have the same properties as it did when it first turned on. Common ground is used to ensure that the interface signals have a common reference ground. It was determined that in states 4 and states 7, it was better to wait until the end of the SA assertion to stop reading the memory address pushed. To do this, an inverted SA was tied into both. This allowed the controller not to proceed into its next state until SA went low and produced a corresponding high at the 4 and 7 input of the primary mux. 13

14 Concise Description of Debugging Process / Necessary Revisions There wasn t much debugging that had to be done. The debugging that did have to be done took much longer than expected though. The first problem encountered was with pin assignments and buffers. The correct way was not really known by anyone, but it was finally figured out that input and output pads had to be used, and pins could be assigned by attaching a p to the number[i.e. for pin 84, assign the pad LOC to p84]. So when the correct pin assignments were made, and everything was hooked up, it still didn t work. It was first checked to see if the wires were connected correctly. Once that was checked the real debugging began. One big problem was that the address buttons were stopping the counter from running [toggling enable]. So the oscilloscope was used to check what states that the controller was jumping to, and what enable was doing. It was determined that the controller was moving to the right states, but enable was toggling when it wasn t supposed to. After running the timing simulation again in Xilinx, it was discovered that there was a small glitch on the function 1 output, that controlled the counter enable. This glitch would happen whenever the controller went from state 7 to state 1[when an address button was pressed]. The first attempt to fix this was by putting a buffer on the output of function 1. This didn t work. It was realized that the glitch always happened on the same part of the clock cycle [the rising edge], so it was determined that if function1 was fed into a D latch that would only latch on the falling edge of a clock cycle, the glitch wouldn t matter [the glitch was very small, and was never evident on the falling edge of the clock cycle]. Figure 5.1: Circuit used to fix glitch problem. It should be noted that there was also glitches on the function 2, and the second priority function 2. These glitches were fixed in the same manor. The only other thing that was changed from the original design was the way the address buttons control ADDLATCH. Originally JK flip flops were used to cut down the clock cycle, and use the slower clock cycle to control ADDLATCH whenever an address button was pressed. In the midst 14

15 of debugging the problem previously discussed, it was determined that using D flips would be more useful. It would get rid of any noise the SA signal had when it when low to high, and it would control ADDLATCH in a simpler and more consistent manner. The way this circuit works has been previously discussed and evident in Figure 4.1. SA A1 d A2 d ADDLATCH clk clk CLK CLK Figure 5.2: Schematic of the circuit used to control ADDLATCH. 15

16 Richards Flow Diagram SS 0 0 SS 1a SA 1b 1b Reset: MuxC Set High Counter Cleared Enable Set Low Fctn 0: Enable Set High Fctn 1a: Enable Set Low Fctn 1b: Add Latch is Set High Fctn 2a: MuxC Set Low Fctn 2b: Counter Cleared Enable Set High Fctn 3a: Add Latch is Set High Fctn 3b: MuxC is Set High Fctn 3c: Load Counter MuxC Set High Fctn 5: MemLatch is Set High Fctn 6: Set Enable High Fctn 7: Read/Write set to write 1!SA 7 Notes: State can be sent back to state 0 by pressing the reset switch, which is hardwired into the ckt. CS 2 SS 2 7 Arrows exiting below denotes state condition satisfied. Arrows exiting to side denotes state condition not satisfied. 2 2b SS 3 CS 3 SA 3 3c 3b 3a TRUE 6!SA TRUE 5 16

17 State Diagram Power / Reset is Pressed Enable set low SA 1a E set high 1b addlatch set high 1 b - SS 6 set enable low 6 b - SA a - SS 7 7 -Rw set to write 2 2a muxc low 2b counter cleared b - CS a - CS c - SS 3a addlatch is set high 3b - muxc is set high 3c load counter, muxc set high 3 a - SA 4 SA 5 Memlatch is set high 5 Note: Clear can be executed from any state and will send it back to state 0. When this happens, mux C is set high, counter is cleared, and enable is set low. ME is always tied low. SS Start / Stop Button is pressed CS Counter / Split Button is pressed SA Switched Activated 17

18 Full Logic Schematic 18

19 Conclusion This lab showed the functionality and abilites of a Richards Controller. Many complex functions could be executed with a relatively small amount of work. Also, the importance and significance of the debugging process was shown once again. It shows the comparison between the implementation of a design in hardware and the implementation of a design in software and how much time and energy are saved by using the software. Also debugging was considerably easier in the software. Much work was put into get it done, but the final design work, and that s all that was important. 19

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH CPE 200L LABORATORY 3: SEUENTIAL LOGIC CIRCUITS DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Learn to use Function Generator and Oscilloscope on the breadboard.

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops DLHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 0 Experiment - Latches and Flip-Flops Objectives:. To implement an RS latch memory element. To implement a JK

More information

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #3 Flip Flop Storage

More information

DIGITAL CIRCUIT COMBINATORIAL LOGIC

DIGITAL CIRCUIT COMBINATORIAL LOGIC DIGITAL CIRCUIT COMBINATORIAL LOGIC Logic levels: one zero true false high low CMOS logic levels: 1 => 0.7 V DD 0.4 V DD = noise margin 0 =< 0.3 V DD Positive logic: high = 1 = true low = 0 = false Negative

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Experiment # 4 Counters and Logic Analyzer

Experiment # 4 Counters and Logic Analyzer EE20L - Introduction to Digital Circuits Experiment # 4. Synopsis: Experiment # 4 Counters and Logic Analyzer In this lab we will build an up-counter and a down-counter using 74LS76A - Flip Flops. The

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

Digital Circuits I and II Nov. 17, 1999

Digital Circuits I and II Nov. 17, 1999 Physics 623 Digital Circuits I and II Nov. 17, 1999 Digital Circuits I 1 Purpose To introduce the basic principles of digital circuitry. To understand the small signal response of various gates and circuits

More information

Physics 120 Lab 10 (2018): Flip-flops and Registers

Physics 120 Lab 10 (2018): Flip-flops and Registers Physics 120 Lab 10 (2018): Flip-flops and Registers 10.1 The basic flip-flop: NAND latch This circuit, the most fundamental of flip-flop or memory circuits, can be built with either NANDs or NORs. We will

More information

Rangkaian Sekuensial. Flip-flop

Rangkaian Sekuensial. Flip-flop Rangkaian Sekuensial Rangkaian Sekuensial Flip-flop Combinational versus Sequential Functions Logic functions are categorized as being either combinational (sometimes referred to as combinatorial) or sequential.

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual University of Victoria Department of Electrical and Computer Engineering CENG 290 Digital Design I Lab Manual INDEX Introduction to the labs Lab1: Digital Instrumentation Lab2: Basic Digital Components

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date: Richland College School of Engineering & Technology Rev. 0 B. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. Bradbury Rev. 3 (7/2015) J. Bradbury Digital Fundamentals CETT 1425 Lab 5 Latches & Flip-Flops

More information

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits Computer Science 324 Computer Architecture Mount Holyoke College Fall 2009 opic Notes: Sequential Circuits Let s think about how life can be bad for a circuit. Edge Detection Consider this one: What is

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

ELECTRICAL ENGINEERING DEPARTMENT California Polytechnic State University

ELECTRICAL ENGINEERING DEPARTMENT California Polytechnic State University EECTRICA ENGINEERING DEPARTMENT California Polytechnic State University EE 361 NAND ogic Gate, RS Flip-Flop & JK Flip-Flop Pre-lab 7 1. Draw the logic symbol and construct the truth table for a NAND gate.

More information

Sequential Logic Basics

Sequential Logic Basics Sequential Logic Basics Unlike Combinational Logic circuits that change state depending upon the actual signals being applied to their inputs at that time, Sequential Logic circuits have some form of inherent

More information

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering Sri Vidya College of Engineering And Technology Virudhunagar 626 005 Department of Electrical and Electronics Engineering Year/ Semester/ Class : II/ III/ EEE Academic Year: 2017-2018 Subject Code/ Name:

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

(Refer Slide Time: 2:05)

(Refer Slide Time: 2:05) (Refer Slide Time: 2:05) Digital Circuits and Systems Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Triggering Mechanisms of Flip Flops and Counters Lecture

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Sequential Circuits Computer Science 324 Computer Architecture Mount Holyoke College Fall 2007 opic Notes: Sequential Circuits Let s think about how life can be bad for a circuit. Edge Detection Consider this one: What is

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Other Flip-Flops. Lecture 27 1

Other Flip-Flops. Lecture 27 1 Other Flip-Flops Other types of flip-flops can be constructed by using the D flip-flop and external logic. Two flip-flops less widely used in the design of digital systems are the JK and T flip-flops.

More information

Experimental Study to Show the Effect of Bouncing On Digital Systems

Experimental Study to Show the Effect of Bouncing On Digital Systems Journal Name, Vol. 1, Journal of Networks and Telecommunication Systems, Vol. 1 (1), 28-38, September, 2015 ISSN: Pending,, Published online: www.unitedscholars.net/archive Experimental Study to Show the

More information

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009. 55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009 Introduction In this project we will create a transistor-level model of

More information

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE NORTHWESTERN UNIVERSITY TECHNOLOGICL INSTITUTE ECE 270 Experiment #8 DIGITL CIRCUITS Prelab 1. Draw the truth table for the S-R Flip-Flop as shown in the textbook. Draw the truth table for Figure 7. 2.

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Fundamentals Of Digital Logic 1 Our Goal Understand Fundamentals and basics Concepts How computers work at the lowest level Avoid whenever possible Complexity Implementation

More information

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays Today 3/8/ Lecture 8 Sequential Logic, Clocks, and Displays Flip Flops and Ripple Counters One Shots and Timers LED Displays, Decoders, and Drivers Homework XXXX Reading H&H sections on sequential logic

More information

Mission. Lab Project B

Mission. Lab Project B Mission You have been contracted to build a Launch Sequencer (LS) for the Space Shuttle. The purpose of the LS is to control the final sequence of events starting 15 seconds prior to launch. The LS must

More information

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator Learning Objectives ECE 206, : Lab 1 Digital Logic This lab will give you practice in building and analyzing digital logic circuits. You will use a logic simulator to implement circuits and see how they

More information

Chapter 3: Sequential Logic Systems

Chapter 3: Sequential Logic Systems Chapter 3: Sequential Logic Systems 1. The S-R Latch Learning Objectives: At the end of this topic you should be able to: design a Set-Reset latch based on NAND gates; complete a sequential truth table

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB Digital Design LAB Islamic University Gaza Engineering Faculty Department of Computer Engineering Fall 2012 ECOM 2112: Digital Design LAB Eng: Ahmed M. Ayash Experiment # 9 Clock generator circuits & Counters

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Module for Lab #16: Basic Memory Devices

Module for Lab #16: Basic Memory Devices Module for Lab #16: Basic Memory evices evision: November 14, 2004 LAB Overview This lab introduces the concept of electronic memory. Memory circuits store the voltage present on an input signal (LHV or

More information

ECB DIGITAL ELECTRONICS PROJECT BASED LEARNING PROJECT REPORT ON 7 SEGMENT DIGITAL STOP WATCH USING DECODER

ECB DIGITAL ELECTRONICS PROJECT BASED LEARNING PROJECT REPORT ON 7 SEGMENT DIGITAL STOP WATCH USING DECODER ECB2212 - DIGITAL ELECTRONICS PROJECT BASED LEARNING PROJECT REPORT ON 7 SEGMENT DIGITAL STOP WATCH USING DECODER SUBMITTED BY ASHRAF HUSSAIN (160051601105) S SAMIULLAH (160051601059) CONTENTS >AIM >INTRODUCTION

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

DepartmentofElectronicEngineering NEDUniversity ofengineering &Technology LABORATORY WORKBOOK DIGITAL LOGIC DESIGN (TC-201)

DepartmentofElectronicEngineering NEDUniversity ofengineering &Technology LABORATORY WORKBOOK DIGITAL LOGIC DESIGN (TC-201) DepartmentofElectronicEngineering NEDUniversity ofengineering &Technology LABORATORY WORKBOOK DIGITAL LOGIC DESIGN (TC-201) Instructor Name: Student Name: Roll Number: Semester: Batch: Year: Department:

More information

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

CSE115: Digital Design Lecture 23: Latches & Flip-Flops Faculty of Engineering CSE115: Digital Design Lecture 23: Latches & Flip-Flops Sections 7.1-7.2 Suggested Reading A Generic Digital Processor Building Blocks for Digital Architectures INPUT - OUTPUT Interconnect:

More information

A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states.

A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states. Clocks A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states. 1 The length of time the clock is high before changing states is its

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

ECE 341. Lecture # 2

ECE 341. Lecture # 2 ECE 341 Lecture # 2 Instructor: Zeshan Chishti zeshan@pdx.edu October 1, 2014 Portland State University Announcements Course website reminder: http://www.ece.pdx.edu/~zeshan/ece341.htm Homework 1: Will

More information

The NOR latch is similar to the NAND latch

The NOR latch is similar to the NAND latch 5-2 NOR Gate Latch The NOR latch is similar to the NAND latch except that the Q and Q outputs are reversed. The set and clear inputs are active high, that is, the output will change when the input is pulsed

More information

Digital Circuits 4: Sequential Circuits

Digital Circuits 4: Sequential Circuits Digital Circuits 4: Sequential Circuits Created by Dave Astels Last updated on 2018-04-20 07:42:42 PM UTC Guide Contents Guide Contents Overview Sequential Circuits Onward Flip-Flops R-S Flip Flop Level

More information

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs)

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential Circuits Combinational circuits Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential circuits Combination circuits with memory

More information

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001 Flip-Flops and Related Devices Wen-Hung Liao, Ph.D. 4/11/2001 Objectives Recognize the various IEEE/ANSI flip-flop symbols. Use state transition diagrams to describe counter operation. Use flip-flops in

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 2/4 ELEKTRONIK DIGIT Kolej Universiti Kejuruteraan Utara Malaysia Sequential Logic Circuits - COUNTERS - LATCHES (review) S-R R Latch S-R R Latch Active-LOW input INPUTS OUTPUTS S R Q Q COMMENTS Q

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP 1 Chapter Overview Latches Gated Latches Edge-triggered flip-flops Master-slave flip-flops Flip-flop operating characteristics Flip-flop applications

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Latches, Flip-Flops, and Timers Chapter 6 Traffic Signal Control Traffic Signal Control: State Diagram Traffic Signal Control: Block Diagram Traffic Signal Control:

More information

Digital Circuit And Logic Design I. Lecture 8

Digital Circuit And Logic Design I. Lecture 8 Digital Circuit And Logic Design I Lecture 8 Outline Sequential Logic Design Principles (1) 1. Introduction 2. Latch and Flip-flops 3. Clocked Synchronous State-Machine Analysis Panupong Sornkhom, 2005/2

More information

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39 BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39 Objectives: Students should be able to Thursday 21 st January 2016 @ 10:45 am Module

More information

Digital Circuit And Logic Design I

Digital Circuit And Logic Design I Digital Circuit And Logic Design I Lecture 8 Outline Sequential Logic Design Principles (1) 1. Introduction 2. Latch and Flip-flops 3. Clocked Synchronous State-Machine Panupong Sornkhom, 2005/2 2 1 Sequential

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

Lab #11: Register Files

Lab #11: Register Files Lab #11: Register Files ECE/COE 0501 Date of Experiment: 3/20/2017 Report Written: 3/22/2017 Submission Date: 3/27/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose of this lab

More information

3 Flip-Flops. The latch is a logic block that has 2 stable states (0) or (1). The RS latch can be forced to hold a 1 when the Set line is asserted.

3 Flip-Flops. The latch is a logic block that has 2 stable states (0) or (1). The RS latch can be forced to hold a 1 when the Set line is asserted. 3 Flip-Flops Flip-flops and latches are digital memory circuits that can remain in the state in which they were set even after the input signals have been removed. This means that the circuits have a memory

More information

Chapter 7 Counters and Registers

Chapter 7 Counters and Registers Chapter 7 Counters and Registers Chapter 7 Objectives Selected areas covered in this chapter: Operation & characteristics of synchronous and asynchronous counters. Analyzing and evaluating various types

More information

Scanned by CamScanner

Scanned by CamScanner NAVEEN RAJA VELCHURI DSD & Digital IC Applications Example: 2-bit asynchronous up counter: The 2-bit Asynchronous counter requires two flip-flops. Both flip-flop inputs are connected to logic 1, and initially

More information

LAB #4 SEQUENTIAL LOGIC CIRCUIT

LAB #4 SEQUENTIAL LOGIC CIRCUIT LAB #4 SEQUENTIAL LOGIC CIRCUIT OBJECTIVES 1. To learn how basic sequential logic circuit works 2. To test and investigate the operation of various latch and flip flop circuits INTRODUCTIONS Sequential

More information

Timing Pulses. Important element of laboratory electronics. Pulses can control logical sequences with precise timing.

Timing Pulses. Important element of laboratory electronics. Pulses can control logical sequences with precise timing. Timing Pulses Important element of laboratory electronics Pulses can control logical sequences with precise timing. If your detector sees a charged particle or a photon, you might want to signal a clock

More information

Final Project [Tic-Tac-Toe]

Final Project [Tic-Tac-Toe] Final Project [Tic-Tac-Toe] (In 2 dimension) ECE 249 Session: 3-6pm TA: Jill Cannon Joseph S Kim Ghazy Mahub Introduction As a final project for ECE 249, we will develop a multi-player tic-tac-toe game

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Chapter 9 Introduction to Sequential Logic

Chapter 9 Introduction to Sequential Logic Chapter 9 Introduction to Sequential Logic Chapter Objectives Upon successful completion of this chapter, you will be able to: Explain the difference between combinational and sequential circuits. Define

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 4: Latches, Flip-Flops, and Sequential Circuits Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Chapter 18. DRAM Circuitry Discussion. Block Diagram Description. DRAM Circuitry 113

Chapter 18. DRAM Circuitry Discussion. Block Diagram Description. DRAM Circuitry 113 DRAM Circuitry 113 Chapter 18 DRAM Circuitry 18-1. Discussion In this chapter we describe and build the actual DRAM circuits in our SK68K computer. Since we have already discussed the general principles

More information

Chapter. Synchronous Sequential Circuits

Chapter. Synchronous Sequential Circuits Chapter 5 Synchronous Sequential Circuits Logic Circuits- Review Logic Circuits 2 Combinational Circuits Consists of logic gates whose outputs are determined from the current combination of inputs. Performs

More information

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

UNIT-3: SEQUENTIAL LOGIC CIRCUITS UNIT-3: SEQUENTIAL LOGIC CIRCUITS STRUCTURE 3. Objectives 3. Introduction 3.2 Sequential Logic Circuits 3.2. NAND Latch 3.2.2 RS Flip-Flop 3.2.3 D Flip-Flop 3.2.4 JK Flip-Flop 3.2.5 Edge Triggered RS Flip-Flop

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information