AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Size: px
Start display at page:

Download "AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS"

Transcription

1 AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin, Kerala, INDIA 2 Assistant Professor, SCMS School of Engineering & Technology, Cochin, Kerala, INDIA 1 ninuliz1190@gmail.com, 2 vinojpg@gmail.com 1346 ABSTRACT In a synchronous circuit design, power consumption and energy efficiency plays a vital role. Clock gating is a popular technique used in many synchronous circuits for reducing dynamic power consumption of idle modules or idle cycles. An efficient technique to reduce power consumption is the use of Double Edge Triggered Flipflops (DETFF) since they can maintain the same throughput as Single Edge Triggered Flip-flops (SETFF s) while only using half of the clock frequency. Clock gating technique integrated with DETFF further reduces the power consumption but asynchronous data sampling problem (change in output between clock edges) is introduced. In order to eliminate asynchronous data sampling problem, two methods have been used and their power analysis has been estimated. In order to further reduce the power consumption, a new design of clock gated DETFF have been proposed. The designs have been implemented using Tanner EDA v13.0 tool. Keywords: Asynchronous data sampling, DETFF, Clock gating, low-power. 1. INTRODUCTION Low power has emerged as a principal theme in today s world of electronics industries. Power dissipation has become an important consideration as performance and area for VLSI Chip design [1]. As technology shrinks below 100nm, reducing power consumption and over all power management on chip are the key challenges due to increased complexity. Normally, high-performance chips will have high clock frequency, which leads to high power consumption. Therefore, less power consuming designs are needed. The clock tree and the timing components such as flip-flops and latches are the major source of power consumption in synchronous circuits. Flip-flops are the commonly used component in the digital system and are used in computational circuits and registers in pipeline structures to store the data for additional processing. In a conventional single edge-triggered (SETFF) flip-flop data moves from input to output in synchrony with one edge of the clock. Recently the use of double edge-triggered (DETFF) flip-flops has been proposed for low-power circuit s design [2]. In order to transfer data in a DET flip-flop both rising and falling edges of the clock signal are used. In this way, for a given throughput, the clock frequency can be halved with respect to a system using SET flip-flops, with a reduction of power dissipation and increasing performance. But these flip-flops also consume power due to transitions in the clock signal. In order to reduce the power consumption further, clock-gating technique has been developed to disable the clock switching when it is not required and when there is no change on the input to the flip-flop. By incorporating clock gating technique with DETFF, power consumption can be reduced further [3]. But incorporating clock gating technique with DETFF [4] introduces asynchronous data sampling between the clock edges at the output side thereby creating data miss-communication error. This paper deals with the different design implementations of clock gated DETFFs and the methods to eradicate the asynchronous sampling problem. In order to reduce the power consumption further a new design for DETFF has been proposed which consumes less average power than other designs and is suitable for high performance applications. 2. ASYNCHRONOUS DATA SAMPLING The clock gated system has the terminology as global clock signal CLK; the (internal) gated clock signal C; clock gating control signal CG; input data D; and, output data Q. The internal clock controls the gated circuits in clock gated circuits. The internal clock is separated from the global clock during gated periods. When the gating signal is in non-active state and if the internal clock is not synchronised with the global clock then the internal clock needs to switch immediately to match the global clock. Since this switching is extra and not synchronized with the external clock, creates an asynchronous data sampling, which is shown by the output changing between clock edges. An assumption is made that all transitions on Q should be synchronized with an active clock edge. Data miscommunication errors might be caused due to asynchronous data sampling. The CG signal is activated when there is a transition in D. When an external pulse is given flip-flop is triggered. The C signal maintains its value instead of generating an active edge in the gating mode. C changes after the transition on CLK in the non-gating mode. Asynchronous data transition may occur, if D changes (i.e., it enters the non-gating mode) while C is not

2 1347 equal to CLK and is shown as spikes at the output. Each clock-gating transition has the potential to create the asynchronous sampling issue but this occurs only at specific conditions. Fig.1. General Block diagram of clock gated DETFF There are certain conditions for the occurrence of asynchronous data sampling problem and it is shown in Table 1. DESIGNS CONDITIONS FOR ASYNCHRONOUS DATA SAMPLING G_DETFF D changes when CLK C DET_SRSFF D changes when CLK = O DHSCGFF D changes when CLK = O Table 1. Conditions for asynchronous data sampling 3. ANALYSIS OF ASYNCHRONOUS DATA SAMPLING ON DIFFERENT CLOCK GATED DETFF 3.1 Asynchronous Sampling in the Gated Double Edge-Triggered Flip-Flop with Transmission Gate (G_DETFF) [5] The G_DETFF contains two major parts, the T_DETFF and the clock-gating circuitry which includes a comparator and the clock-gating module. The data signal arrives into the T_DETFF and the comparator simultaneously, and the comparator checks the current data input D and the output Q. If D and Q are not equal, which means the input has changed since the last comparison, then an active gated clock signal will be generated by the clock gating circuitry, and the gated clock signal will be sent to the T_DETFF to trigger the storage. The input data will be passed through to the output. Otherwise, the entire system remains in the previous state. There are two variable factors when the flip-flop operates: 1) for the last transition before clock gating was applied which edge triggered the flip flop and 2) when clock-gating is de asserted, what was the value of the CLK. If the value of C does not equal the CLK when the circuit deactivates the clock-gating function, then the asynchronous sampling issue appears for the G-DETFF. 3.2 Asynchronous Sampling in Low-Power Double-Edge Triggered State Retention Scan Flip-Flop (DET_SRSFF) [6] The DET_SRSFF circuit is based on a prior art low power overhead level- sensitive scan mechanism. It is composed of a pulse generator, static latch and LFB (leakage feedback) to reduce leakage current. If there is a pulse during the latch mode when D=1, Q changes from 0 to 1. A pulse generator has been used to attain the double edge triggered capability and to integrate the clock-gating feature. The circuit operates normally for CG=0. Clock edges are detected using pulse generator by connecting a delay element with an XOR gate. This helps in generating a positive pulse for each clock edge. Asynchronous data transition occurs in DET_SRSFF, when there is an input change while CLK equals 0. Because when there is a change in the input, clock signal is made inactive (de-asserted). Hence in DET_SRSFF, asynchronous data transition can be observed when there is an input change before a rising edge. DET_SRSFF has no problem related to asynchronous sampling when input does not change or when changes before a falling edge. However, when input changes before a rising edge, an asynchronous pulse will be generated as soon as the gating signal is de-asserted. This unwanted pulse triggers the flip flop between clock edges where that output data signal should be held and is observed at before rising edge. 3.3 Asynchronous Sampling in the Double Edge-Triggered Half-Static Clock-Gated D-Type Flip-Flop (DHSCGFF) [7] In DHSCGFF, two flip-flops and a multiplexer are used. On comparing the value of D and Q, a clock gating signal is generated to control the signal path of the global clock to the internal clock. The clock gating signal named as R is generated by comparing the value of input D and output Q. There are two master latches and a slave latch. The upper path (Master latch 1) transmits data only on the falling edge of Clock signal, while the lower path (Master latch 2) transmits data on the rising edge of C lock signal. The actual sizes of transistors of master latch 2 can be Tam s size, balanced size, Modified 1 and Modified 2. R stays 0 when gated (inactive). So if the input data changed when CLK =0, then the value of C will change to 1 immediately, and C will follow as the complement of C. An asynchronous clock edge of C triggers the flip-flop, causing the asynchronous data sampling. After each data transition, the gated signal C goes back to 0 and stays low until the next transition.

3 METHODS TO AVOID ASYNCHRONOUS DATA SAMPLING In order to avoid asynchronous data transition in C two schemes were introduced [8]. 4.1 SCHEME 1 When the gating signal is de-asserted, connection establishes between CLK and C only when CLK=C, hence avoiding asynchronous data transition in C. In scheme1 CLK signal is controlled by comparing D and Q. If D has changed since the last clock transition and is different from Q, then CLK will pass to the second comparator to compare with the C. This CLK & C comparator controls the switch T2 between the C and CLK. The second comparator prevents the asynchronous sampling. Asynchronous sampling occurs when D changes when CLK differs from C. However, with the second CLK & C comparator, the switch T2 will stay OFF when CLK C and C will synchronize with CLK. Fig.2. Scheme SCHEME 2 In Scheme 2 also the asynchronous sampling is avoided by the asynchronous data transition in C. The C normally stays at 0. When D changes while the CLK is 1 transistor P2, the one closer to CLK, will be turned OFF immediately and C will remain the same. When CLK goes back to 0 (a falling edge), P2 is ON and because of the delay element, P1 will stay ON for the time needed to trigger the flip-flop. Here in both the schemes a transmission gate based double edge triggered flip flop (T_DETFF) [7] has been used. Fig.3: Scheme 2 5. PROPOSED DESIGN In the proposed design, the transmission gate based DETFF (T_DETFF) in the existing design has been replaced by another modified DETFF. Since, DETFF s are edge sensitive devices; data can be latched on anyone of the clock edges. Though several contributions have been made to design DETFF s, there arises certain circumstance to reduce the power consumption of DETFF s further. A high performance DETFF proposed shown in Fig 3 consumes less power and area than the other designs and will be more suitable for high performance applications. Fig.4. S-EDIT view of Modified DETFF

4 1349 In the proposed design, the modified DETFF shown above is inserted in the place of transmission gate based DETFF (T_DETFF). In the modified scheme1, CLK signal is controlled by comparing D and Q. If D has changed since the last clock transition and is different from Q, then CLK will pass to the second comparator to compare with the C and is shown in Fig 5. For modified scheme 2, C normally stays at 0. When D changes while the CLK is 1 transistor P2, the one closer to CLK, will be turned OFF immediately and C will remain the same. When CLK goes back to 0 (a falling edge), P2 is ON and because of the delay element, P1 will stay ON for the time needed to trigger the flip-flop and it is shown in Fig 6. Fig.5. S-EDIT view of Proposed Modified Scheme 1 Fig.6. S-EDIT view of Proposed Modified Scheme 2 Instead of using T_DETFF the proposed modified DETFF is used. The modified DETFF proved to be very power efficient and area efficient. 5. CIRCUIT SIMULATION, ANALYSIS AND COMPARISON The simulation results of G_DETFF, DHSCGFF, DET_SRSFF, Scheme1, Scheme 2 and the proposed designs are shown along with their waveforms. Simulation has been done using Tanner tool (S-EDIT) and the power results are shown. Power comparison of the existing and proposed DET flip-flop s are tabulated and shown. Fig.7. Waveform of Gated DETFF (G_DETFF) The output of G_DETFF shows the existence of the asynchronous sampling issue within the design. Two asynchronous transitions occurred (circled in the figure), where D changes when CLK C.

5 1350 Fig.8. Waveform of DET_SRFF From the output waveform of figure 8, it is observed that DET_SRFF design when input D changes before a rising edge, an asynchronous pulse will be generated as soon as the gating signal is de asserted. This extra pulse leads to the asynchronous transition that causes the timing issue within the clock-gated double edge triggered flip-flop. The DHSCGFF can be implemented as tam s size, balanced size, modified 1 and modified 2. According to the analysis of Tam s circuit, those asynchronous transitions should occur when CLK=0 (before the rising edge) for all input data transitions. The asynchronous transitions for Tam s circuit could fully appear (i.e., show both cases), partially appear (i.e., only one case is observed) or be hidden. In balanced sized DHSCGFF the circuit has a similar rise and fall time. In this case, one asynchronous transition appears. In Modified I the circuit demonstrates that both possible asynchronous transitions can appear. Fig.9. Waveform of DHSCGFF (Tam s size) For each waveform of scheme 1 and scheme 2, signals are placed according to the event timeline from top to bottom. For each simulation, four data switching cases have been tested respectively, from left to right: 1) D changes from 1 to 0 before a falling edge; 2) D changes from 0 to 1 before a rising edge; 3) D changes from 1 to 0 before a rising edge; and 4) D changes from 0 to 1 before a falling edge. In these designs, the asynchronous transitions have been removed but area, power and delay is consumed more. Fig.10. Waveform of Scheme 1 Fig.11.Waveform of Scheme 2

6 1351 The proposed modified DETFF is used instead of transmission gate T_DETFF in proposed scheme 1 and scheme 2 implementation. The simulation results for both schemes are shown in figure 12 and figure 13. Fig.12. Waveform of proposed scheme 1 Fig.13. Waveform of proposed scheme 2 Type of Flip-Flop No.of Transistors Average Power (Watts) Delay (Seconds) G_DETFF DHSCGFF DET_SRSFF SCHEME SCHEME PROPOSED SCHEME PROPOSED SCHEME Table 2. Comparisons of Existing and Proposed Designs No. of Transistors Average Power (Watts) SCHEME 1 SCHEME 2 PROPOSED SCHEME 1 PROPOSED SCHEME 2 Fig.14. Comparison Graph From the table it is clear that proposed scheme 2 consumes low average power and less transistor count compared to all other designs. The delay is also reduced compared to previous designs. The graph shown denotes the power and area analysis of the proposed and existing designs. On comparing with the existing designs, the proposed design consumes low power, less delay and occupies less area.

7 1352 CONCLUSIONS Due to high demand of power efficient mobile devices, various power reduction techniques have been emerged. When used separately, the DETFFs has proved to be very efficient flip-flop design in reducing power but when used or integrated with clock gating technique data miss-communication errors occurs due to asynchronous data sampling. In order to eradicate this problem several solutions have been suggested and two simple approaches were introduced to reduce the power consumed in DETFF s by eliminating the asynchronous data sampling issue. In order to reduce the power consumption further, a new design has been proposed and based on that, two designs were implemented using Tanner EDA tool. REFERENCES [1] C.-C. Yu, Low-power double edge-triggered flip-flop circuit design, in Proc. Int. Conf. Innovative Computing Information and Control (ICICIC), 2008, p [2] Hossain, L. Wronski, and A. Albicki, Low power design using double edge triggered flip-flops, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 2, no. 2, pp ,1994. [3] A. G. M. Strollo, E. Napoli, and C. Cimino, Analysis of power dissipation in double edge-triggered flipflops, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 8, no. 5, pp , Oct [4] Y. Xia and A. E. A. Almaini, Differential CMOS edge-triggered flip-flop with clock-gating, Electron. Lett., vol. 38, no. 1, pp. 9 11,2002. [5] A. Datta and M. Saint-Laurent, A low-power clock gating cell optimized for low-voltage operation in a 45- nm technology, in ACM/IEEE Int. Symp. Low-Power Electronics and Design (ISLPED), 2010, pp [6] H. Karimiyan, S. M. Sayedi, and H. Saidi, Low-power dual-edge triggered state retention scan flip-flop, IET Comput. & Dig.Techniques, vol. 4, no. 5, pp , [7] W.-S. Tam, S.-L. Siu, C.-W. Kok, and H. Wong, Double edge-triggered half-static clock-gated D-type flipflop, in Proc. IEEE Int. Conf. Electron Devices and Solid- State Circuits (EDSSC), 2010, pp [8] Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops Xiaowen Wang, Student Member, IEEE, and William H. Robinson, Senior Member, IEEE, September2013

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 4, April 2015,

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme Ch.Sreedhar 1, K Mariya Priyadarshini 2. Abstract: Flip-flops are the basic storage elements used extensively

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION Chien-Cheng Yu 1, 2 and Ching-Chith Tsai 1 1 Department of Electrical Engineering, National Chung-Hsing University, Taichung, Taiwan 2 Department

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique Priyanka

More information

Design of an Efficient Low Power Multi Modulus Prescaler

Design of an Efficient Low Power Multi Modulus Prescaler International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 6, Issue 3 (March 2013), PP. 15-22 Design of an Efficient Low Power Multi Modulus

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

Low Power Area Efficient Parallel Counter Architecture

Low Power Area Efficient Parallel Counter Architecture Low Power Area Efficient Parallel Counter Architecture Lekshmi Aravind M-Tech Student, Dept. of ECE, Mangalam College of Engineering, Kottayam, India Abstract: Counters are specialized registers and is

More information

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN 1 L.RAJA, 2 Dr.K.THANUSHKODI 1 Prof., Department of Electronics and Communication Engineeering, Angel College of Engineering and Technology,

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 9, September 2013,

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements Available online at: http://www.ijmtst.com/ncceeses2017.html Special Issue from 2 nd National Conference on Computing, Electrical, Electronics and Sustainable Energy Systems, 6 th 7 th July 2017, Rajahmundry,

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331 PP 58-64 www.iosrjournals.org Design and Analysis of Semi-Transparent Flip-Flops for high speed and

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider Ranjith Ram. A 1, Pramod. P 2 1 Department of Electronics and Communication Engineering Government College

More information

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. Ajay, 2 G.Srihari, 1 PG Scholar,Dept of ECE, Sreenivasa Institute of Technology and Management Studies (Autonomous) Murkambattu, Chittoor,

More information

Partial Bus Specific Clock Gating With DPL Based DDFF Design

Partial Bus Specific Clock Gating With DPL Based DDFF Design International Journal of Inventions in Computer Science and Engineering, Volume 2 Issue 4 April 2015 Partial Bus Specific Clock Gating With DPL Based DDFF Design For Low Power Application Reshmachandran

More information

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique NAVEENASINDHU P 1, MANIKANDAN N 2 1 M.E VLSI Design, TRP Engineering College (SRM GROUP), Tiruchirappalli 621 105, India,2,

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. I (Sep.- Oct. 2017), PP 85-92 www.iosrjournals.org Dual Edge Triggered

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 31-36 Power Optimization Techniques for Sequential Elements Using Pulse

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches Dandu Yaswanth M.Tech, Santhiram Engineering College, Nandyal. Syed Munawwar Assistant Professor, Santhiram Engineering College,

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

Current Mode Double Edge Triggered Flip Flop with Enable

Current Mode Double Edge Triggered Flip Flop with Enable Current Mode Double Edge Triggered Flip Flop with Enable Remil Anita.D 1, Jayasanthi.M 2 PG Student, Department of ECE, Karpagam College of Engineering, Coimbatore, India 1 Associate Professor, Department

More information

2GHz High Performance Double Edge Triggered D-Flip Flop Based Shift Registers In 32NM CMOS Technology

2GHz High Performance Double Edge Triggered D-Flip Flop Based Shift Registers In 32NM CMOS Technology 2GHz High Performance Double Edge Triggered D-Flip Flop Based Shift s In 32NM CMOS Technology Irudaya Praveen. D 1, Ravi. T 2, Kannan.V 3 1 II Year M.Tech, VLSI Design, Sathyabama University, Chennai.

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements

Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements I. Pavani Akhila Sree P.G Student VLSI Design (ECE), SVECW D. Murali Krishna Sr. Assistant Professor,

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register Design of Low Power and Area Efficient Pulsed Latch Based Shift Register 1 ANUSHA KORE, 2 Dr. S.A.MUZEER Department of ECE Megha Institute of Engineering & Technology For women s Edulabad, Ghatkesar mandal,

More information

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG 1 V.GOUTHAM KUMAR, Pg Scholar In Vlsi, 2 A.M.GUNA SEKHAR, M.Tech, Associate. Professor, ECE Department, 1 gouthamkumar.vakkala@gmail.com,

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP 1 Chapter Overview Latches Gated Latches Edge-triggered flip-flops Master-slave flip-flops Flip-flop operating characteristics Flip-flop applications

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 555-560 Research India Publications http://www.ripublication.com Design of Low Power and Area Efficient 64

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic -A Sequential Circuit consists of a combinational circuit to which storage elements are connected to form a feedback path. The storage elements are devices capable of storing

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 7 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

A Novel Approach for Auto Clock Gating of Flip-Flops

A Novel Approach for Auto Clock Gating of Flip-Flops A Novel Approach for Auto Clock Gating of Flip-Flops Kakarla Sandhya Rani 1, Krishna Prasad Satamraju 2 1 P.G Scholar, Department of ECE, Vasireddy Venkatadri Institute of Technology, Nambur, Guntur (dt),

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY 1 M.SRINIVAS, 2 K.BABULU 1 Project Associate JNTUK, 2 Professor of ECE Dept. JNTUK Email: srinivas.mattaparti@gmail.com,

More information

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay)  CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 7 (07 Feb 2008) 1 Announcement 2 1 Combinational vs. Sequential Logic Combinational Logic Memoryless Outputs

More information

Design and Analysis of Modified Fast Compressors for MAC Unit

Design and Analysis of Modified Fast Compressors for MAC Unit Design and Analysis of Modified Fast Compressors for MAC Unit Anusree T U 1, Bonifus P L 2 1 PG Student & Dept. of ECE & Rajagiri School of Engineering & Technology 2 Assistant Professor & Dept. of ECE

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

CHAPTER 1 LATCHES & FLIP-FLOPS

CHAPTER 1 LATCHES & FLIP-FLOPS CHAPTER 1 LATCHES & FLIP-FLOPS 1 Outcome After learning this chapter, student should be able to; Recognize the difference between latches and flipflops Analyze the operation of the flip flop Draw the output

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES Volume 115 No. 7 2017, 447-452 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES K Hari Kishore 1,

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Design of a Novel Glitch-Free Integrated Clock Gating Cell for High Reliability. A Thesis Presented. Tasnuva Noor. The Graduate School

Design of a Novel Glitch-Free Integrated Clock Gating Cell for High Reliability. A Thesis Presented. Tasnuva Noor. The Graduate School Design of a Novel Glitch-Free Integrated Clock Gating Cell for High Reliability A Thesis Presented by Tasnuva Noor to The Graduate School in Partial Fulfillment of the Requirements for the Degree of Master

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme

Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme S.Sujatha 1, M.Vignesh 2 and T.Kowsalya 3 PG Scholar [VLSI], Muthayammal Engineering College, Rasipuram, Namakkal,

More information

Minimization of Power for the Design of an Optimal Flip Flop

Minimization of Power for the Design of an Optimal Flip Flop Minimization of Power for the Design of an Optimal Flip Flop Kahkashan Ali #1, Tarana Afrin Chandel #2 #1 M.TECH Student, #2 Associate Professor, 1,2 Department of ECE, Integral University, Lucknow, INDIA

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP R.Ramya 1, P.Pavithra 2, T. Marutharaj 3 1, 2 PG Scholar, 3 Assistant Professor Theni Kammavar Sangam College of Technology, Theni, Tamil

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE Keerthana S Assistant Professor, Department of Electronics and Telecommunication Engineering Karpagam College of Engineering

More information

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 11, Issue 5, Ver. II (Sep.-Oct.2016), PP 24-32 www.iosrjournals.org Design Of Error Hardened

More information

Design of Low Power Universal Shift Register

Design of Low Power Universal Shift Register Design of Low Power Universal Shift Register 1 Saranya.M, 2 V.Vijayakumar, 3 T.Ravi, 4 V.Kannan 1 M.Tech-VLSI design, Sathyabama University, Jeppiaar Nagar, Rajiv Gandhi Salai, Chennai 119 2 Assistant

More information

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) S.Santhoshkumar, L.Saranya 2 (UG Scholar, Dept.of.ECE, Christ the king Engineering college, Tamilnadu, India, santhosh29ece@gmail.com) 2 (Asst. Professor,

More information

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

I. INTRODUCTION. Figure 1: Explicit Data Close to Output Low Power Shift Register Design Based on a Signal Feed Through Scheme 1 Mr. G Ayappan and 2 Ms.P Vinothini, 1 Assistant Professor (Senior Grade), 2 PG scholar, 1,2 Department of Electronics and Communication,

More information

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme Mayur D. Ghatole 1, Dr. M. A. Gaikwad 2 1 M.Tech, Electronics Department, Bapurao Deshmukh College of Engineering, Sewagram, Maharashtra,

More information

Design of Shift Register Using Pulse Triggered Flip Flop

Design of Shift Register Using Pulse Triggered Flip Flop Design of Shift Register Using Pulse Triggered Flip Flop Kuchanpally Mounika M.Tech [VLSI], CMR Institute of Technology, Kandlakoya, Medchal, Hyderabad, India. G.Archana Devi Assistant Professor, CMR Institute

More information