Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Similar documents
SIC Vector Generation Using Test per Clock and Test per Scan

I. INTRODUCTION. S Ramkumar. D Punitha

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Design of Fault Coverage Test Pattern Generator Using LFSR

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Efficient Test Pattern Generation Scheme with modified seed circuit.

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

Fault Detection And Correction Using MLD For Memory Applications

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

ISSN:

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

LOW TRANSITION TEST PATTERN GENERATOR ARCHITECTURE FOR MIXED MODE BUILT-IN-SELF-TEST (BIST)

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

A Modified Clock Scheme for a Low Power BIST Test Pattern Generator

Design of Low Power Test Pattern Generator using Low Transition LFSR for high Fault Coverage Analysis

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

VLSI System Testing. BIST Motivation

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Weighted Random and Transition Density Patterns For Scan-BIST

ISSN Vol.04, Issue.09, September-2016, Pages:

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Controlling Peak Power During Scan Testing

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Survey of low power testing of VLSI circuits

Power Problems in VLSI Circuit Testing

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Testing Digital Systems II

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

POWER dissipation is a challenging problem for today s

A New Low Energy BIST Using A Statistical Code

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Diagnosis of Resistive open Fault using Scan Based Techniques

Power Optimization by Using Multi-Bit Flip-Flops

Design of BIST with Low Power Test Pattern Generator

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER

A Literature Review and Over View of Built in Self Testing in VLSI

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

VLSI Test Technology and Reliability (ET4076)

Test Pattern Generation Using BIST Schemes

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Implementation of Low Power Test Pattern Generator Using LFSR

Overview: Logic BIST

Doctor of Philosophy

Scan. This is a sample of the first 15 pages of the Scan chapter.

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

LOW POWER TEST PATTERN GENERATION USING TEST-PER-SCAN TECHNIQUE FOR BIST IMPLEMENTATION

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Testing Digital Systems II

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Lecture 18 Design For Test (DFT)

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Testing and Power Optimization with Programmable PRPG Technique

LFSR Counter Implementation in CMOS VLSI

ISSN (c) MIT Publications

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST )

FPGA Implementation of Low Power Self Testable MIPS Processor

This Chapter describes the concepts of scan based testing, issues in testing, need

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore.

ECE 715 System on Chip Design and Test. Lecture 22

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Figure.1 Clock signal II. SYSTEM ANALYSIS

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

A Low Power Delay Buffer Using Gated Driver Tree

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Implementation of UART with BIST Technique

A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

Design for Testability

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Transcription:

24 Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 1. A.V.PRABU 2.T.APPA RAO 3. TUSHAR KANT PANDA 4.PADMINI MISHRA 5. L.SIVA PRASAD 6.R.DHAMODHARAN ABSTRACT: The main challenging areas in VLSI are performance, cost, and power dissipation. Due to switching i.e. e power consumed testing, due to short circuit current flow and charging of load area, reliability and power.. These applications require low power dissipation VLSI circuits. The power dissipation during test mode is 200% more an in normal mode. Hence it is important aspect to optimize power during testing. Power optimization is one of e main challenges. Test Pattern generation has long been carried out by using conventional Linear Feedback Shift Registers (LFSR). LFSR s are a series of flip-flop s connected in series wi feedback taps defined by e generator polynomial. The number of inputs required by e circuit under test must match wi e number of flip-flop outputs of e LFSR. This test pattern is run on e circuit under test for desired fault coverage. The power consumed by e chip under test is a measure of e switching activity of e logic inside e chip which depends largely on e randomness of e applied input stimulus. Reduced correlation between e successive vectors of e applied stimulus into e circuit under test can result in much higher power consumption by e device an e budgeted power. A new low power pattern generation technique is implemented using a modified conventional Linear Feedback Shift Register. I. INTRODUCTION Very-large-scale integration (VLSI) is e process of creating integrated circuits by combining ousands of transistor-based circuits into a single chip. VLSI began in e 1970s when complex semiconductor and communication technologies were being developed. The microprocessor is a VLSI device. The term is no longer as common as it once was, as chips have increased in complexity into e hundreds of millions of transistors. Built-In Self-Test (BI ST)[1] techniques can effectively reduce e difficulty and complexity of VLSI testing, by introducing on-chip test hardware into e circuit- undertest (CUT). The main challenging areas in VLSI are performance, cost, power dissipation is due to switching i.e. e power consumed testing, due to short circuit current flow and charging of load area, reliability and power. The demand for portable computing devices and communications system are increasing rapidly. The applications require low power dissipation VLSI circuits. The power dissipation during test mode is 200% more an in normal mode. Hence e important aspect to optimize power during testing In conventional BIST architectures, e linear feedback shift register (LFSR) is commonly used in e test pattern generators (TPGs) and output response analyzers. A major drawback of ese architectures is at e

25 pseudorandom patterns generated by e LFSR lead to significantly high switching activities in e CUT [2], which can cause excessive power dissipation. They can alsodamage e circuit and reduce product yield and lifetime [3], [4]. In addition, e LFSR usually needs to generate very long pseudorandom sequences in order to achieve e target fault coverage in nanometer technology. 1.1 BIST Architecture A typical BIST architecture consists of TPG - Test Pattern Generator TRA Test Response Analyzer Control Unit As shown in figure below. BIS T Control Unit Test Pattern Generation (TPG) Circuitry Under Test CU T Test Response Analysis (TRA) Figure 1.1: Test Pattern Generator It generates test pattern for CUT. It will be dedicated circuit or a microprocessor. Pattern generated may be pseudo random numbers or deterministic sequence. Here we are using a Linear Feedback Shift Register for generating random number. The Architecture for LFSR is as shown below. Figure 1.2: Architecture of LFSR Tapping can be taken as we wish but as per taping change e LFSR output generate will change & as we change in no of flip-flop e probability of repetition of random number will reduce. The initial value loading to e LFSR is known as seed value. 1.1.1 Test Response Analyzer (TSA): TRA will check e output of MISR & verify wi e input of LFSR & give e result as error or not. 1.1.2 BIST Control Unit Control unit is used to control all e operations. Mainly control unit will do configuration of CUT in test mode/normal mode, feed seed value to LFSR, Control MISR & TRA. It will generate interrupt if an error occurs. You can clear interrupt by interrupt_clear_i signal. 1.1.3 Circuit under Test (CUT) CUT is e circuit or chip in which we are going to apply BIST for testing stuck at zero or stuck at one error. Need for Using BIST Technique: Today s highly integrated multi-layer boards wi fine-pitch ICs are virtually impossible to be accessed physically for testing. Traditional board test meods which include functional test, only accesses e board's primary I/Os, providing limited coverage and poor diagnostics for board-network fault. In circuit testing, anoer traditional test meod works by physically accessing each wire on e board via costly "bed of nails" probes and testers. To identify reliable testing meods which will reduce e cost of test equipment, a research to verify each VLSI testing problems has been conducted. The major problems detected so far are as follows: Test Generation Problems Gate to I/O pin ratio. Test Generation Problems The large number of gates in VLSI circuits has pushed computer automatic-test-generation times to weeks or mons of computation. The numbers of test patterns are becoming too large to be handled by an external tester and is has resulted in high computation costs and has outstripped reasonable available time for production testing.

26 Gate to I/O Ratio Problems: As ICs grow in gate counts, it is no longer true at most gate nodes are directly accessible by one of e pins on e package. This makes testing of internal nodes more difficult as ey could neier no longer be easily controlled by signal from an input pin (controllability) nor easily observed at an output pin (observe ability). Pin counts go at a much slower rate an gate counts, which worsens e controllability and observe ability of internal gate nodes. Implementation of low transition test pattern The basic idea behind low power BIST is to reduce e PI activities. The paper proposes a new transition test pattern generation technique which generates ree intermediate test patterns between each two consecutive random patterns generated by a conventional LFSR. The proposed test pattern generation meod does not decrease e random nature of e test patterns. The technique reduces e PI s activities and eventually switching activities in e circuit under test. Let us assume at T i and T i+1 are two consecutive test patterns generated by a pseudorandom pattern generator (e.g. a conventional LFSR). The new low transition LFSR (LTLFSR) generates ree intermediate patterns (T i1, T i+1. T i2 and T i3 ) between T i and The total number of signal transition occurs between ese five vectors are equivalent to e number of transition occurs between e two vectors. Hence e power consumption is reduced. Additional circuit is used for few logic gates in order to generate ree intermediate vectors. The area overhead of e additional components to e LFSR is negligible compared to e large circuit sizes. The ree intermediate vectors (T i1, T i2 andt i3 ) are achieved by modifying conventional flip-flops outputs and low power outputs [16]. Implementing algorim for LT-LFSR The proposed approach consists of two half circuits. The algorim steps says e functions of bo half circuits is Step1: First half is active and second half is idle and gives out is previous, e generating test vector is T i. Step2: Bo halves are idle First half sent to e output and second half s output is sent by e injection circuit, e generating test vector is T i1. Step3: Second half is active First half is in idle mode and gives out as previous, e generating test vector is T i2. Step4: Bo halves are in idle mode, First half is given by injection circuit and Second half is same as previous, e generating test vector is T i3. After completing step 4 again goes to step1 for generating test vector T i+1. The first level of hierarchy from top to down includes logic circuit design for propagation eier e present or next state of flip-flop to second level of hierarchy. Second level of hierarchy is implementing Multiplexed (MUX) function i.e. selecting two states to propagate to output which provides more power reduction compared to having only one of e RI injection and Bipartite LFSR techniques in a LFSR due to high randomness of e inserted patterns. II. PROPOSED LOW POWER LINEAR FEEDBACK SHIFT REGISTERS (LFSR S) 2.1 Idea behind Low Power Test Pattern Generation One way to improve e correlation between e bits of e successive vectors is to avoid frequent transitioning of e logic levels of e primary inputs. The new approach entails inserting 3 intermediate vectors between every two successive vectors. The total number of signal transitions between ese 5 vectors is equal to e total number of signal transitions between e 2 successive vectors generated using e conventional approach. This reduction of signal transition activity in e primary inputs reduces e switching activity inside e design under test and erefore results in reduced power Consumption by e device under test. The additional circuitry used to accomplish e generation of e 3 intermediate vectors is minimal at best consisting of few logic gates. The number of LFSR outputs required is driven by e number of test inputs required for circuit under test.the technique of inserting 3 intermediate vectors is achieved by modifying e conventional LFSR circuit wi two additional levels of logic between e conventional flip-flop outputs and e low power outputs as shown in Figure 4.1. The first level of hierarchy from e top down includes logic circuit design for propagating eier

27 e present or e next state of e flip-flops to e second level of hierarchy. The second level of hierarchy is a LFSR and disabling (not clocking) e last 4 bits. This Shifts e first 4 bits to e right by one bit. The feedback multiplexer function at provides for bits of e LFSR are e outputs of e 8 selecting between e two states (present or next) to and e first flip-flop. The output of e 8 Flip-flop be propagated to e outputs as low power output. is 1 and e output of e first flip-flop is 0. The Minimal at best consisting of few logic gates. In e simulation environment, e outputs exclusive-or of e 8 -flip-flop (logic 1 in is case) of e flip-flops are loaded wi e seed vector. The feedback taps are selected pertinent to e 8 and e first flip-flop(logic 0 in is case) is input (1 EXOR 0 = 1 into e first D flip-flop. The new pattern in e first four bits of e LFSR is 1010. characteristic polynomial x + x + 1. Only 2 inputs Note at e shaded register is clocked along wi pins, namely test enable and clock are required to e first 4 bits of e LFSR. So e input of e activate e generation of e pattern as well as simulation of e design circuit. It is also notewory shaded flip-flop is e output of e 4 flip-flop here at e intermediate vectors in addition to which in is case is 0. Also note at prior to e aiding in reducing e number of transitions can also first clock, e input of e shaded register was e empirically assist in detecting faults just as good as seed value of e 4 flip-flop at e output of e 4 e conventional LFSR patterns. Description of e technique to produce low power pattern for BIST The flip-flop which in is case is 0. So after e first clock is value of 0 will now appear at e output of e shaded following is a description of a low power test pattern flip-flop. In oer words e value of e generation technique as depicted in e 9-bit LFSR based schematic in Figure 4.1. Verilog based test bench as 4 output is stored in is shaded register and is used shown in Appendix B is used in assigning e initial in e next few steps. The first 4 shifted bits of e output states (0100 1011) of e LFSR and e last 4 un-shifted bits (i.e. e seed value) are 9-bit LFSR. The feedback taps are designed for maximal propagated as T1 (1010 1011) to e final leng LFSR generating all zeros and all outputs. Next few steps involve generating e 3 one s as well. intermediate patterns from T1. These patterns are defined as Ta, Tb and Tc shown in below flow. Fig 2.1 LP-LFSR The first step is to generate T1, e first vector by enabling (clocking) e first 4-bits of e Fig 2.2 Proposed algorim for low power LFSR

28 feedback value from e first flip-flop is also 1 as per e Ta is generated by maintaining (disabling e current values above. The exclusive or of two ones is a 0. clock to e first 4 bits) e first four bits of e LFSR Therefore e input to e first flip-flop is a 0 which is also outputs (as is from T1) as e final first four low power e next state of e first flip-flop. Hence e next values outputs 1010. Note at e clock to e are 0 for e first flip-flop and last four bits of e LFSR is also disabled. nd rd 101 for e 2, 3 and 4 flip-flop respectively. The The last four bits however are e outputs next values are 0101. The first four outputs from e from e injector circuits. The injector circuit injection circuit are 1111. The last 4 outputs are e compares e next value (e input of e D-flip- rd flop) wi e current value (e output of e D-flip- same as Tb which are 0101 resulting in e 3 and flop). According to T1, e outputs (current values) of e last 4 bits of e LFSR are 1011. The next values are e values at e inputs of e D-flip-flops which in is case are 0101. Compare e current values (1011) bit by bit wi e next values (0101). If e values bit by bit are not e same en use e random generator feedback R (in is case is logic 1) as e bit value as shown in e schematic above. If however bo values bit by bit are e same en propagate at bit value to output as opposed to e R bit. This bit by bit comparison gives us e last four bits of Ta to be 1111. Therefore Ta = 1010 1111. Next step is to generate Tb. Shift e last 4 flip-flops to e right one bit but do not shift e first final intermediate vector Tc = 1111 0101. Generating T2 is quite similar to generating T1. As in Tc e outputs of e last four LFSR flops are 0101. The outputs of e first 4 flip-flops of e LFSR are e current values which are 1010. Therefore e seed vector for generating T2 is 1010 0101. Shift e first four bits of e LFSR plus e shaded flip-flop. Do not clock e last four flipflops. Propagate e outputs of e entire LFSR to e final low power outputs. The output of e 8 flip-flop from e previous step (generating Tc) is a 1 and e output of e first flip-flop from e previous step (generating Tc) is also a 1. The exclusive or of e output of e 8 flip-flop and e 4 flip-flops to e right. The clock to e first 4 bits first flip-flop is 0. Therefore e input to e first plus e shaded flip-flop is disabled. The clock to e nd last 4 bits is enabled. Propagate e outputs of e flip-flop will be a 0. The inputs to e 2 rd, 4 and, 3 flip-flops of e entire LFSR as opposed to e outputs of e injection circuit to e outputs (low power). The injection circuits are disabled. As in Ta, maintain e first e shaded flip-flops are 1010. These are also e current values from e previous step (generating Tc). Shifting e first four flip-flops of e LFSR to four LFSR outputs (1010) as e low power outputs. e right by one bit results in 0101 as e outputs of e Again from Ta, e inputs of e last four D flip-flops first four flip-flops. Therefore T2 generated is from e previous step (generating Ta) are 0101. Also 0101 0101. note at e output of e shaded register is 0 from e previous step III. SYNTHESIS & SIMULATION RESULTS (generating Ta). Therefore e input of e 5 flip- Schematic diagram: flop is a 0. The outputs of e last 4 flip-flops are rd 0101 resulting in Tb = 1010 0101. The 3 intermediate vector Tc is generated via disabling e clock to e entire LFSR. Propagate e first 4 outputs from e injection circuit as e first 4 low power outputs and maintain e last 4 low power outputs e same as Tb. Generating injection circuit outputs for Tc is conceptually e same as explained above in generating Ta. Current values (e outputs of e flip-flops) of e first four flip-flops are compared wi e next values (e inputs of e flip- flops) of e flip-flops. The feedback from e 8 flip-flop is 1 (please see generating Tb). Therefore e logical feed forward value of R is 1. The Figure 3.1: Schematic Diagram

29 RTL Schematic: Technology Schematic: Figure 3.2 RTL Schematic Figure 3.3: Technology Schematic Wave Forms: Final Good: Figure 3.4: Wiout fault detection

30 IV. CONCLUSION Figure 3.5: Wi fault detection Power Reports: Figure 3.6: Normal LFSR Power Reports Low Power LFSR Report: The proposed low-power test pattern generation meod at could be easily implemented by hardware. It also developed a eory to express a sequence generated by linear sequential architectures, and extracted a class of SIC sequences named MSIC. Analysis results showed at an MSIC sequence had e favorable features of uniform distribution, low input transition density, and low dependency relationship between e test leng and e TPG s initial states. Combined wi e proposed reconfigurable Johnson counter or scalable SIC counter, e MSIC-TPG can be easily implemented, and is flexible to test-per-clock schemes and test-per-scan schemes. For a test-per- clock scheme, e MSIC-TPG applies SIC sequences to e CUT wi e SRAM-like grid. For a test per scan scheme, e MSIC-TPG converts an SIC vector to low transition vectors for all scan chains. Experimental results and analysis results demonstrate at e MSIC-TPG is scalable to scan leng, and has negligible impact on e test overhead. REFERENCES: [1]. Michael L.Bushnell, Vishwani D.Agawal," Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits," Kluwer Academic Publishers, 2000. [2] Y. Zorian, A distributed BIST control scheme for complex VLSI devices, in 11 Annu. IEEE VLSI Test Symp. Dig. Papers, Apr. 1993, pp. 4 9. [3] P. Girard, Survey of low-power testing of VLSI circuits, IEEE Design Test Comput., vol. 19, no. 3, pp. 80 90, May Jun. 2002. [4] A. Abu-Issa and S. Quigley, Bit-swapping LFSR and scan-chain ordering: A novel technique for peak- and average-power reduction in scan-based BIST, IEEE Trans. Comput.-ided Design Integr. Circuits Syst., vol. 28, no. 5, pp. 755 759, May 2009. [5] P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, J. Figueras, S. Manich, P. Teixeira, and M. Santos, Lowenergy BIST design: Impact of e LFSR TPG parameters on e weighted switching activity, in Proc. IEEE Int. Symp. Circuits Syst., vol. 1. Jul. 1999, pp. 110 113. [6] S. Wang and S. Gupta, DS-LFSR: A BIST TPG for low switching activity, IEEE Trans. Comput.- Figure 3.7: Conventional Low power LFSR Power Reports

31 Aided Design Integr. Circuits Syst., vol. 21, no. 7, pp. 842 851, Jul. 2002. [7] F. Corno, M. Rebaudengo, M. Reorda, G. Squillero, and M. Violante, Low power BIST via non-linear hybrid cellular automata, in Proc. 18 IEEE VLSI Test Symp., Apr. May 2000, pp. 29 34. [8] P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, and H. Wunderlich, A modified clock scheme for a low power BIST test pattern generator, in Proc. 19 IEEE VTS VLSI Test Symp., Mar. Apr. 2001, pp. 306 311. [9] D. Gizopoulos, N. Krantitis, A. Paschalis, M. Psarakis, and Y. Zorian, Low power/energy BIST scheme for datapas, in Proc. 18 IEEE VLSI Test Symp., Apr. May 2000, pp. 23 28. [10] Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, A gated clock scheme for low power scan testing of logic ICs or embedded cores, in Proc. 10 Asian Test Symp., Nov. 2001, pp. 253 258. [11] C. Laoudias and D. Nikolos, A new test pattern generator for high defect coverage in a BIST environment, in Proc. 14 ACM Great Lakes Symp. VLSI, Apr. 2004, pp. 417 420. [12] S. Bhunia, H. Mahmoodi, D. Ghosh, S. Mukhopadhyay, and K. Roy, Low-power scan design using first-level supply gating, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 3, pp. 384 395, Mar. 2005. 3.TUSHAR KANT PANDA Asst. Prof,Department of Electronics, Gunupur, Rayagada Orissa-765022 4. PADHMINI MISHRA Asst. Prof,Department of Electronics, Gunupur, Rayagada Orissa-765022 5.L.SIVA PRASAD Asst. Prof,Department of Electronics, Gunupur, Rayagada Orissa-765022 1.A.V.PRABU Asst. Prof,Department of Electronics, Gunupur, Rayagada Orissa-765022 6. R.DHAMODHARAN Asst. Prof,Department of ECE, SKCE, Vellore, TamilNadu. 2.T.APPARAO, Asso. Prof,Department of EEE, Gunupur,Rayagada Orissa-765022.