VLSI Test Technology and Reliability (ET4076)

Similar documents
VLSI System Testing. BIST Motivation

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

ECE 715 System on Chip Design and Test. Lecture 22

Overview: Logic BIST

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Testing Digital Systems II

Design of Fault Coverage Test Pattern Generator Using LFSR

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Testing Digital Systems II

This Chapter describes the concepts of scan based testing, issues in testing, need

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

ISSN (c) MIT Publications

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 18 Design For Test (DFT)

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Scan. This is a sample of the first 15 pages of the Scan chapter.

Design of BIST Enabled UART with MISR

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Diagnosis of Resistive open Fault using Scan Based Techniques

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Design for Testability

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Testing Digital Systems II

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

DESIGN FOR TESTABILITY

Power Problems in VLSI Circuit Testing

CSE 352 Laboratory Assignment 3

Weighted Random and Transition Density Patterns For Scan-BIST

UNIT IV CMOS TESTING. EC2354_Unit IV 1

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Testing of Cryptographic Hardware

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Design of Efficient Programmable Test-per-Scan Logic BIST Modules

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Design of BIST with Low Power Test Pattern Generator

SIC Vector Generation Using Test per Clock and Test per Scan

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Chapter 8 Design for Testability

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Changing the Scan Enable during Shift

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

I. INTRODUCTION. S Ramkumar. D Punitha

Digital Integrated Circuits Lecture 19: Design for Testability

Transactions Brief. Circular BIST With State Skipping

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

Design for Testability Part II

Based on slides/material by. Topic Testing. Logic Verification. Testing

Implementation of UART with BIST Technique

Doctor of Philosophy

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Techniques for Seed Computation and Testability Enhancement for Logic Built-In Self Test

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Unit V Design for Testability

Evaluating BIST Architectures for Low Power

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

E-Learning Tools for Teaching Self-Test of Digital Electronics

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Design for test methods to reduce test set size

Testing Sequential Circuits

LFSR Counter Implementation in CMOS VLSI

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Enhanced JTAG to test interconnects in a SoC

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Transcription:

VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2

Learning aims Describe the concept and the architecture of BIST Compare BIST different implementations List the pros and cons of BIST Develop a BIST at higher level VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 2

Contents Motivation General BIST Architecture BIST Hierarchy BIST Building blocks Aliasing BIST Cost Logic BIST implementation Test-Per-Clock BIST Scan Based Test Memory BIST Summary VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 3

BIST Motivation () VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 4

BIST Motivation (2) To solve variety of test problems Solve Test-Access problem (Lack of direct pin access) Increasing chip logic-to-pin ratio harder observability Ability to carry out At Speed Test Increasing difficulty in performing at speed test using ATE Useful for field test and diagnosis Less expensive than a local ATE Improve diagnosis (system, board, component) Increasingly dense devices and fast clocks Reduce test cost Increasing test generation and application times Shortage of test/dft engineers Hard testability insertion Designers unfamiliar with gate-level logic, since they design at behavioral level VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 5

BIST Motivation (3) Other advantages Reduce chip pin count = reduced # ATE driver/sensor channels Reduce program runtime (depends on # of tests and clock frequency) Lower system test effort Improved system maintenance and repair Improved component repair Lower test development cost BIST can be automatically added with CAD tools Can test many units in parallel VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 6

BIST Motivation (4) In the past BIST was seen as one off investment Once it has been used and device passed the tests, there was no further use of BIST => Hard to economically justify BIST Today Boundary scan changed the view Access of BIST through boundary scan BIST can be re-run at all stages of the product cycle Especially system level for diagnostic purposes => Various form of BIST are being requested By designers in system companies By EDA companies to respond with appropriate testsynthesis tools VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 7

General BIST Architecture BIST cannot test wires (and transistors): From PI pins to Input MUX From POs to output pins Can be tested using ATE or JTAG In normal mode: PIs input MUX Circuit POs VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 8

BIST Hierarchy BIST Hierarchy at all three levels of packaging System has multiple PCBs, each with multiple chips System Test controller can activate self-test simultaneously on all boards Board Test Controller can activate self-test on all chips Chip Test Controller executes self-test and transmits results to Board Test Controller Board Test Controller accumulates results from all chips and transmits them to System Test Controller System Test Controller use the results to e.g., isolate the faulty chips and boards BIST Diagnosis effective only if very high fault coverage considered VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 9

BIST Building blocks.pattern generator Many approaches ROM Very expensive in chip area LFSR: Linear Feedback Shift Register Generate Pseudo-random tests (M or more) Use very little hardware Currently the preferred BIST pattern generation method Binary counters Generate exhaustive test sequence Too much test time if # of PIs is huge Use more hardware than LFSR LFRS combined with few patterns in ROM To augment the fault coverage Modified counters VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft

BIST Building blocks.pattern generator LFSR: Linear Feedback Shift Register A shift register with feedback from the last stage and others It has no other input beside the clock Outputs of FFs form the test pattern Number of unique test patterns is equal to the # of the states of the circuit Determined by the # and locations of feedbacks Two types: Standard LFSR (External XOR LFSR) Modular LFSR (Internal XOR LFSR) Example: Standard LFSR Characteristic polynomial f (x) = + x + x 3 X Initialized to (7 patterns).. X X2.... VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft

BIST Building blocks.pattern generator Generic Standard LFSR: Produces patterns algorithmically repeatable Has most of desirable random # properties Need not cover all 2 n input combinations Long sequences needed for good fault coverage X (t + ) X (t + ). X n-3 (t + ) X n-2 (t + ) X n- (t + ) =...... h... h 2... h n-2... h n- X (t) X (t). X n-3 (t) X n-2 (t) X n- (t) X (t + ) = T s X (t) (T s is companion matrix) VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 2

BIST Building blocks.pattern generator Generic Modular LFSR Described by companion matrix T m = T st ; X (t + ) = T m x X (t) Equivalent to standard External XOR LFSR With a different state assignment; Faster usually does not matter Same amount of hardware X (t + ) X (t + ) X 2 (t + ). X n-3 (t + ) X n-2 (t + ) X n- (t + ) =............... h h 2. h n-3 h n-2 h n- X (t) X (t) X 2 (t). X n-3 (t) X n-2 (t) X n- (t) VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 3

BIST Building blocks.pattern generator LFSR Theory (see book) Cannot initialize to all s (it hangs) If X is initial state, progresses through states X, T s X, T s 2 X, Ts 3 X, Matrix period: Smallest k such that T s k = I k is LFSR cycle length Described by characteristic polynomial: f (x) = T s I X (= T m I X if modular LFSR) = + h x + h 2 x 2 + + h n- x n- + x n Maximal-length LFSR if period K=2 n - VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 4

BIST Building blocks.pattern generator FC versus pattern count Bottom: Random-Pattern Resistant circuit (like PLAs) Requires weighted pseudo-random pattern generation Or insertion of testability hardware VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 5

BIST Building blocks.pattern generator Weighted Pseudo-Random Pattern Generation s-a- F If p () at all PIs is.5, p F () =.5 8 = /256 p F ()=- (/256)=255/256 Will need enormous # of random patterns to test a stuck-at fault on F -- LFSR p () =.5 We must not use an ordinary LFSR to test this IBM holds patents on weighted pseudo-random pattern generator in ATE VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 6

BIST Building blocks.response Compaction Severe amounts of data in CUT response to LFSR patterns Example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million x 2 = billion bits response Uneconomical to store and check all of these responses on chip Responses must be compacted VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 7

BIST Building blocks.response Compaction Different approaches Parity Testing Parity bit calculated using modulo 2 summation i.e., (Σ r i )modulo 2 One Counting Number of s in the response stream is counted Transition Counting Number of transitions and in the response counted i.e., Σ (r i XOR r i+ ) Test Patterns Test Patterns Test Patterns VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 8 CUT CUT CUT + D FF D FF Counter + Compacted response Compacted response Compacted response

BIST Building blocks.response Compaction Signature analysis using LFSR Single-Input Signature Register/ Analyzer (SISR) Also known as Cyclic Redundant Check Code CRCC Treat data bits from circuit POs to be compacted as a decreasing order coefficient polynomial SISR divides the PO polynomial by its characteristic polynomial Leaves remainder of division in LFSR Must initialize LFSR to seed value (usually ) before testing After testing compare signature in LFSR to known good machine signature Critical: Must compute good machine signature VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 9

BIST Building blocks.response Compaction Single-Input Signature Register (SISR) Example: LFSR seed value is PO polynomial: x 7 +x 3 +x Characteristic polynomial: x 5 +x 3 +x+ Remainder of division: x 3 +x 2 + (=) LSB h VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 2

BIST Building blocks.response Compaction Problem Single-Input Signature Register (SISR) Too much hardware if one of these is put on each primary output (PO) E.g., if 2 outputs, 2*5 FFs, and 3*2 XOR gates will be required for the previous example Solution: MISR: Multiple-Input Signature Register compacts all outputs into one LFSR Works because LFSR is linear obeys superposition principle Superimpose all responses in one LFSR Final remainder is XOR sum of remainders of polynomial divisions of each PO by the characteristic polynomial VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 2

BIST Building blocks.response Compaction Multiple-Input Signature Register Example: PO polynomials: PO=d= x 3 +x PO2=d= x 3 +x 2 + PO3=d2= x 3 Characteristic polynomial: x 3 +x+ Resulting signature is the XOR of the three different signatures due to the polynomial division of each of the three POs VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 22

Aliasing When using compaction, the resulted compacted signature may be identical to the fault-free signature => aliasing It lowers the fault coverage Example Transition count (TC) SAF Logic gates Fault-free signature: =>TC=2 Faulty signature: => TC=2 Fault will be not detected as TC=2 in both cases VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 23

Aliasing Parity checking Aliasing frequently occurs One counting Permutes placement of s and s Count transition Alias less than one counting It checks for correct number of s and s AND also partially the correct ordering of s and s MISR Aliasing depends on k: polynomial degree (e.g., k=3 for x 3 +x+ ) The larger k, the smaller the aliasing Aliasing probability is about /2 k MISR has more aliasing than LFSR on single PO VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 24

Aliasing.Experiment Hardware 3 bit exhaustive binary counter for pattern generator Pattern abc Responses Fault-free a sa f sa b sa Signatures Transition count 3 3 LFRS VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 25

BIST Costs Chip area overhead and pin overhead: Test controller Hardware pattern generator Hardware response compacter Testing of BIST hardware At least pin needed to activate BIST operation Performance overhead Extra path delays due to BIST Yield loss Due to increased chip area or more chips in system because of BIST Reliability reduction Due to increased area Increased BIST hardware complexity happens when BIST hardware is made testable VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 26

Logic BIST implementation..classification Test-Per-Clock BIST Also called Concurrent Built-In Logic Block Observer CBILBO FFs at the inputs of the kernel/circuit are configured as parallel pattern generation (e.g., LFSR) FFs at the output of the kernel/circuit are configured as signature analyzer (e.g. SISR, MISR) (Faults are tested every clock period) Test-Per-Scan BIST VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 27

Logic BIST implementation..classification Test-Per-Scan BIST Also called STUMPS (Self-Test Using MISR and Parallel SRSG The FFs of the circuit are configured as one or more scan chains Pattern generator and Signature analyzer are added to the circuit Testing of a faults is done in many clock cycles (scan-in test vector, conduct the test, scan-out the response) Take significantly more time than Test-Per-Clock BIST To reduce the length of PRPG and MISR and improve the randomness of PRPG, optional Linear Phase Shifter and Comparator are used Pattern Generation (PRPG) Linear Phase Shifter Scan Chain Scan Chain 2 Scan Chain N Linear Phase Comparator Signature Analyze (MISR) VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 28

Logic BIST implementation..comparison Hardware overhead Test-Per-Clock BIST requires the replacement of the circuit FFs with special configurable registers (normal, scan, pattern generator, signature analyzer) Scan based BIST requires the replacement of circuit FFs with scan FFs; it also requires additional registers for pattern generation and signature analyzer. Area overhead for Scan Based BIST is lower only if the circuit is large. Test application Test-Per-Clock BIST supports at speed-testing Covers faults due transients in power/ground lines due to switching Scan based BIST requires SCL+ for a single test SCL: length of the longest scan path Scan based BIST can be implemented at the chip level Even if the modules do not have BIST circuitry (but include scan design) Scan based BIST most used in industry VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 29

Memory BIST General architecture Input Test generator Address & Data Output analyzer Compare & pass/fail Response Data Evaluator Overall controller Cost Communication - Some design effort - Learning curve - Chip area Advantages Design Under Test (DUT) + Short test time as compared with scan + The only practical & cost-effective solution for large embedded memories (>6KB?) + At speed testing + Diagnosis capabilities + No expensive ATE required VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 3

Summary () BIST architecture Patten generator Response compaction Comparator Test controller Preferred BIST methods LFSR pattern generator MISR response compacter Two ways to implement BIST Test-per-clock (CBILBO) Test-per-scan (STUMPS) VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 3

Summary(2) BIST benefits: At-speed testing for delay & stuck-at faults Drastic ATE cost reduction Field test capability Faster diagnosis during system test Less effort to design testing process Shorter test application times BIST cost Overhead Test controller, extra circuit delay, Input MUX, pattern generator, response compacter, DFT to initialize circuit & test the test hardware, at least one additional pin Performance overhead and yield loss VLSI Test Technology and Reliability, 29-2 CE Lab, TUDelft 32