ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

Similar documents
Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations

Comparative study on low-power high-performance standard-cell flip-flops

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

EE-382M VLSI II FLIP-FLOPS

Lecture 21: Sequential Circuits. Review: Timing Definitions

FLIP-FLOPS and latches, which we collectively refer to as

P.Akila 1. P a g e 60

II. ANALYSIS I. INTRODUCTION

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

11. Sequential Elements

A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

A Low-Power CMOS Flip-Flop for High Performance Processors

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

An FPGA Implementation of Shift Register Using Pulsed Latches

Digital System Clocking: High-Performance and Low-Power Aspects

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Lecture 6. Clocked Elements

A Power Efficient Flip Flop by using 90nm Technology

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Improved Sense-Amplifier-Based Flip-Flop: Design and Measurements

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat.

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

D Latch (Transparent Latch)

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Implementation of Counter Using Low Power Overlap Based Pulsed Flip Flop

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

An efficient Sense amplifier based Flip-Flop design

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Load-Sensitive Flip-Flop Characterization

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

High performance and Low power FIR Filter Design Based on Sharing Multiplication

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

ADVANCES in NATURAL and APPLIED SCIENCES

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Synchronous Timing. Latch Parameters. Class Material. Homework #8 due next Tuesday

Topic 8. Sequential Circuits 1

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

THE clock system, composed of the clock interconnection

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

Design of Low Power Universal Shift Register

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Robust Synchronization using the Wagging Technique

Embedded Logic Flip-Flops: A Conceptual Review

Reduction of Area and Power of Shift Register Using Pulsed Latches

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

Lecture 11: Sequential Circuit Design

Minimization of Power for the Design of an Optimal Flip Flop

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Analysis of Low Power Dual Dynamic Node Hybrid Flip-Flop

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

CHAPTER 1 LATCHES & FLIP-FLOPS

Asynchronous (Ripple) Counters

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

ECE 555 DESIGN PROJECT Introduction and Phase 1

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Digital System Clocking: High-Performance and Low-Power Aspects

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

ECE321 Electronics I

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

CMOS Latches and Flip-Flops

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Chapter 7 Sequential Circuits

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

A REVIEW OF FLIP-FLOP DESIGNS FOR LOW POWER VLSI CIRCUITS

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

EFFICIENT TIMING ELEMENT DESIGN FEATURING LOW POWER VLSI APPLICATIONS

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction

Project 6: Latches and flip-flops

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Transcription:

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America, Sunnyvale, CA 2 Department of Electrical and Computer Engineering, University of California, Davis, CA A new Skew Tolerant Flip-Flop (STFF) that achieves the lowest reported delay and energy-delay product while absorbing up to 54ps of clock skew is described. In addition, a method for characterizing clock skew absorbing flip-flops is presented. This comparison is apples-to-apples because the best previously reported flip-flops [1-4] are fabricated on the same wafer and measured using a common test setup. Other methods used to absorb clock skew incur large synchronization overhead (multi-phase latch-based design), require generation and distribution of overlapped multiple clock phases, and/or reduce design flexibility and complicate testing. To achieve high clock skew absorption while maintaining high speed and design simplicity, STFFs have a soft clock edge property [5], making them transparent during a short time interval after the clock edge. In addition, the soft clock edge allows some time borrowing between adjacent pipeline stages which can be traded for skew absorption. Clock skew is modeled as a window around the nominal arrival time where the actual clock transition may occur causing a fluctuation of data to clock delay. This modifies data to output delay (t DQ ), [6] as seen in Fig. 19.5.1. The delay of a flip-flop in the presence of clock skew is the maximum t DQ corresponding to the worst possible clock arrival time. If this delay increase is smaller than the initial clock arrival variation, the flip-flop absorbs clock skew. The clock skew absorption is the portion not reflected in increased t DQ, (Fig. 19.5.1). The highest clock skew absorption (100%) is obtained if the data to output characteristic is flat in a region of expected clock arrival. Clock skew is defined as absorbed if the absorption is 80% or higher. The proposed differential Skew Tolerant Flip-Flop (STFF-D), displayed in Fig. 19.5.2, consists of two stages. Stage one generates a pulse at node S/S _ (Set) or R/R _ (Reset) after the falling edge of Clk. Stage two is a set-reset latch that captures the pulses S/S _ or R/R _. When Clk is high, nodes C S and C R are low. Nodes S _ and R _ are high and I3, I4, M10, M12, M14 and M16 maintain the values of outputs Q and Q _. When Clk switches low, signals C S and C R are driven high, enabling evaluation of nodes S _ and R _. If D=1 (D=0), node S _ (R _ ) switches low and node S (R) high, which forces C R (C S ) back to low. This disables subsequent switching of node R _ (S _ ) and ensures that node C S (C R ) is driven high while Clk=0. The pulses at either S _ /S or R _ /R simultaneously pull Q/Q _ to D/D _. During the time when Clk=0, the low level of node S _ (R _ ) is maintained by transistor M1 and M3 (M5 and M7). The Single-ended Skew Tolerant Flip-Flop (STFF-SE), shown in Fig. 19.5.3, also consists of two stages. Stage one conditionally generates a pulse at node S _ (Set), which is captured by the clocked half-latch (N1, N2) in stage two. When Clk=1, node C S is low, node S _ is precharged high and N1 and N2 maintain the levels of the outputs Q and Q _. When Clk goes low, node C S switches high, forcing node S _ low if D=1. This low level at node S _ keeps node C S high while Clk=0, and drives outputs Q and Q _ to logic one and zero, respectively. If D=0 until node CKD switches high, node C S switches low and S _ remains high. This drives Q to logic zero and Q _ to logic one. During the time when Clk=0, the level of node S _ is maintained by transistors M7, M9, and M10. For either version of the flip-flop, if the data arrives while C S and C R are high, the transition of node S _ or R _ depends only on the data arrival time. In addition, the feedback applied in the first stage of STFF-SE drives C S high if S _ switches low, even when the transparency window elapses [1]. These features allow negative setup time and widen the region for which the data to output characteristic is flat. Finally, STFF exhibits small delay due to the short critical path from D/D _ to Q/Q _. The effective delay may be further reduced by embedding an arbitrary logic function into the first stage. STFF-SE and STFF-D are compared to the Semi-Dynamic Flip- Flop (SDFF) [1], the improved Sense Amplifier Flip-Flop (im- SAFF) [2,3], and a conventional Master-Slave latch [4]. All compared flip-flops are optimized for minimum Energy-Delay Product (EDP) at 25% data activity and fabricated in a 0.11µm 1.2V CMOS process [7]. The flip-flops are driven by FO4 inverters and loaded with 14 minimum inverters (18.9fF). Built-in test circuitry capable of measuring the delay t Clk-Q =f(t D-Clk ) with 1σ uncertainty of 15ps is developed. Power consumption is measured by isolating the power supply for a bank of flip-flops. The measured timing characteristics are shown in Fig. 19.5.4. STFF-SE and STFF-D have a wide region where the data to output characteristic is flat. Figure 19.5.5 shows the delay versus clock skew. The data to output delay of STFF-D is smallest when the clock skew is zero and absorbed skew is 33ps; absorbed skew of STFF-SE is 54ps. Overall measured characteristics of the flipflops are shown in Fig. 19.5.6. In terms of the clocking overhead, a skew-absorbing flip-flop is equivalent to a conventional flipflop with delay reduced for the amount of absorbed skew. The equivalent delay of STFF-D is 39ps and of STFF-SE is 30ps. Due to the skew absorption, STFFs have the largest hold times and may increase the padding needed to meet the fast path requirements. Introducing circuitry to enable clock skew absorption has no significant impact on power and area. Delay and EDP comparison indicates that STFF offers the best energy-delay tradeoff even when clock skew is not taken into account. References [1] F. Klass, Semi-Dynamic and Dynamic Flip-Flops with Embedded Logic, Symposium on VLSI Circuits, Digest of Technical Papers, p.108-109, 1998. [2] B. Nikolic, V. G. Oklobdzija, "Design and Optimization of Sense Amplifier-Based Flip-Flops, 25th ESSCIRC, pp. 410-413, 1999. [3] V. Stojanovic, V. G. Oklobdzija, Flip-Flop, US Patent No. 6,232,810, May 2001. [4] G. Gerosa et al, A 2.2W, 80MHz Superscalar RISC Microprocessor, IEEE J. Solid State Circuits, vol. 29, pp. 1440-1452, Dec. 1994. [5] H. Partovi et al, Flow-Through Latch and Edge-Triggered Flip-Flop Hybrid Elements, ISSCC Digest of Technical Papers, pp. 138-139, 1996. [6] V. Stojanovic, V. G. Oklobdzija, Comparative Analysis of Master-Slave Latches and Flip-Flops for High-Performance and Low-Power Systems, IEEE J. Solid State Circuits, vol.34, no.4, p.536-548, 1999. [7] Y. Takao et al, A 0.11um CMOS Technology with Copper and Very-lowk Interconnects for High-Performance System-On-a-Chip Cores, IEDM Technical Digest, p.559-562, 2000.

ISSCC 2003 / February 12, 2003 / Salon 8 / 10:45 AM Figure 19.5.1: Skew absorption model. Figure 19.5.2: Differential Skew Tolerant Flip-Flop (STFF-D). Figure 19.5.3: Single-Ended Skew Tolerant Flip-Flop (STFF-SE). Figure 19.5.4: Data to output delay comparison. Figure 19.5.5: Data to output delay vs. clock skew. µ µ Figure 19.5.6: Measured characteristics of flip-flops. 19

µ µ Figure 19.5.7: Micrograph of delay measurement circuit. 19

Figure 19.5.1: Skew absorption model.

Figure 19.5.2: Differential Skew Tolerant Flip-Flop (STFF-D).

Figure 19.5.3: Single-Ended Skew Tolerant Flip-Flop (STFF-SE).

Figure 19.5.4: Data to output delay comparison.

Figure 19.5.5: Data to output delay vs. clock skew.

µ µ Figure 19.5.6: Measured characteristics of flip-flops.

µ µ Figure 19.5.7: Micrograph of delay measurement circuit.