EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Similar documents
Based on slides/material by. Topic Testing. Logic Verification. Testing

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Slide Set 14. Design for Testability

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Scan. This is a sample of the first 15 pages of the Scan chapter.

Lecture 23 Design for Testability (DFT): Full-Scan

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Lecture 18 Design For Test (DFT)

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Unit V Design for Testability

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Digital Integrated Circuits Lecture 19: Design for Testability

VLSI System Testing. BIST Motivation

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

VLSI Test Technology and Reliability (ET4076)

Design for Testability

Testing Digital Systems II

Testing Digital Systems II

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Testing Sequential Circuits

This Chapter describes the concepts of scan based testing, issues in testing, need

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

DESIGN FOR TESTABILITY

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

At-speed Testing of SOC ICs

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design of Fault Coverage Test Pattern Generator Using LFSR

Diagnosis of Resistive open Fault using Scan Based Techniques

Overview: Logic BIST

ECE 715 System on Chip Design and Test. Lecture 22

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Department of Information Technology and Electrical Engineering. VLSI III: Test and Fabrication of VLSI Circuits L.

Comparing Functional and Structural Tests

TKK S ASIC-PIIRIEN SUUNNITTELU

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Design for Testability Part II

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

An Experiment to Compare AC Scan and At-Speed Functional Testing

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

EE-382M VLSI II FLIP-FLOPS

Sequential Design Basics

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

Simulation Mismatches Can Foul Up Test-Pattern Verification

the Boundary Scan perspective

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

EECS150 - Digital Design Lecture 2 - CMOS

Chapter 10 Exercise Solutions

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing

New Directions in Manufacturing Test

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Circular BIST testing the digital logic within a high speed Serdes

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Chapter 8 Design for Testability

LFSR Counter Implementation in CMOS VLSI

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

At-speed testing made easy

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

ISSN (c) MIT Publications

Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

DESIGN OF LOW POWER TEST PATTERN GENERATOR

CMOS Latches and Flip-Flops

Design of BIST with Low Power Test Pattern Generator

Using down to a Single Scan Channel to Meet your Test Goals (Part 2) Richard Illman Member of Technical Staff

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

K.T. Tim Cheng 07_dft, v Testability

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

Digital System Clocking: High-Performance and Low-Power Aspects

Flip-Flops A) Synchronization: Clocks and Latches B) Two Stage Latch C) Memory Requires Feedback D) Simple Flip-Flop Gate

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Nodari S. Sitchinava

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Diagnostic Test Generation and Fault Simulation Algorithms for Transition Faults

New tests and test methodologies for scan cell internal faults

Design of an Infrastructural IP Dependability Manager for a Dependable Reconfigurable Many-Core Processor

Design for test methods to reduce test set size

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Lecture 8: Sequential Logic

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Transcription:

EE241 - Spring 2001 Advanced Digital Integrated Circuits Lecture 28 References Rabaey, Digital Integrated Circuits and EE241 (1998) notes Chapter 25, ing of High-Performance Processors by D.K. Bhavsar 1

Project Reports Title of the project/ your names and e-mail addresses Abstract (100 words) Motivation Problem statement Possible solutions from literature (from midterm report) Proposed comparison/solution. Discuss why did you select this particular one. Conditions/assumptions of your design Analysis: Does it work? Analytical analysis, simulation results. Conclusion. What is this approach good for? What else could be done? References Due on May 10, at 10am (on the web) Project Presentations PowerPoint presentations due May 10, at 10am on the web. From 1-5pm in BWRC front classroom. Time per group: 2mins + 5mins x #people + 5mins for discussion #slides < #minutes for presentation Final exam is in 3 Evans Hall on Wednesday, March 16, 5-8pm. 2

Diagnostic test Classification» used in chip/board debugging» defect localization go/no go or production test» Used in chip production Parametric test»x ε [v,i] versus x ε [0,1]» check parameters such as NM, V t,t p, T Chip Debugging Design errors or fabrication defects? Micro-probing the die (1-01.pF) E-beam Single-die repair (FIB) 3

ing is Expensive VLSI testers cost $1-5M Volume manufacturing requires large number of testers, maintenance er time costs are in /sec cost contributes 20-30% to total chip cost Types of ing Step Design Prototype Manufacture Shipping System Integration Service Error Source Design flaws Design flaws Prototype flaws Physical defects Man. test, transport Same Stress, Age Type Design ver. Functional test Manuf. test Functional test Diagnosis 4

Fault Models Most Popular - Stuck - at model 0 sa0 (output) 1 sa1 (input) Covers almost all (other) occurring faults, such as opens and shorts. x1 x2 α β γ Z x3 α, γ : x1 sa1 β : x1 sa0 or x2 sa0 γ : Z sa1 Problem with stuck-at model: CMOS open fault x1 x2 x1 Z x2 Sequential effect Needs two vectors to ensure detection! Other options: use stuck-open or stuck-short models This requires fault-simulation and analysis at the switch or transistor level - Very expensive! 5

Problem with stuck-at model: CMOS short fault 0 C D 0 A B Causes short circuit between Vdd and GND for A=C=0, B=1 0 1 A B C D Possible approach: Supply Current Measurement (IDDQ) but: not applicable for gigascale integration Design for ability N inputs Combinational Logic K outputs N inputs Combinational Logic K outputs Module Module M state regs (a) Combinational function (b) Sequential engine 2 N patterns 2 N+M patterns Exhaustive test is impossible or unpractical 6

Problem: Controllability/Observability Combinational Circuits: controllable and observable - relatively easy to determine test patterns Sequential Circuits: State! Turn into combinational circuits or use self-test Memory: requires complex patterns Use self-test Approaches Ad-hoc testing Scan-based Self- Problem is getting harder» increasing complexity and heterogeneous combination of modules in system-on-achip.» Advanced packaging and assembly techniques extend problem to the board level 7

Generating and Validating -Vectors Automatic test-pattern generation (ATPG)» for given fault, determine excitation vector (called test vector) that will propagate error to primary (observable) output» majority of available tools: combinational networks only» sequential ATPG available from academic research Fault simulation» determines test coverage of proposed test-vector set» simulates correct network in parallel with faulty networks Both require adequate models of faults in CMOS integrated circuits Scan-based ScanIn ScanOut In Register Combinational Logic A Register Combinational Logic B Out 8

Polarity-Hold SRL (Shift-Register Latch) System Data System Clock Scan Data Shift A Clock D C SI A L1 Q Q SO Shift B Clock B L2 SO Introduced at IBM and set as company policy (LSSD) Scan-Path Flip-Flop OUT SCAN PHI2 PHI1 SCANIN SCANOUT IN LOAD KEEP 9

Scan Flip-Flop in AMD K-6 Scan-based Operation In 0 In 1 In 2 In 3 ScanIn ScanOut Latch Latch Latch Latch Out 0 Out 1 Out 2 Out 3 φ 1 φ 2 N cycles scan-in 1 cycle evaluation N cycles scan-out 10

Scan Self-test Stimulus Generator (Sub)-Circuit Under Response Analyzer Controller Rapidly becoming more important with increasing chip-complexity and larger modules 11

Linear-Feedback Shift Register (LFSR) R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 Pseudo-Random Pattern Generator Signature Analysis In Counter R Counts transitions on single-bit stream Compression in time 12

Built-In Self- Memory Self- data-in FSM Memory Under data-out Signature Analysis address & R/W control Patterns: Writing/Reading 0s, 1s, Walking 0s, 1s Galloping 0s, 1s 13

Boundary Scan (JTAG) Printed-circuit board Logic Packaged IC Scan-in Scan-out si so scan path normal interconnect Bonding Pad Board testing becomes as problematic as chip testing ing on-chip Logic 14

ing Mixed Analog-Digital ICs 15