COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Similar documents
University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Power-Driven Flip-Flop p Merging and Relocation. Shao-Huan Wang Yu-Yi Liang Tien-Yu Kuo Wai-Kei Tsing Hua University

K.T. Tim Cheng 07_dft, v Testability

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Power Optimization by Using Multi-Bit Flip-Flops

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Synchronous Sequential Logic

Retiming Sequential Circuits for Low Power

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

2.6 Reset Design Strategy

ELCT201: DIGITAL LOGIC DESIGN

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Chapter 4. Logic Design

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Figure.1 Clock signal II. SYSTEM ANALYSIS

Chapter. Synchronous Sequential Circuits

IT T35 Digital system desigm y - ii /s - iii

Chapter 5 Synchronous Sequential Logic

RS flip-flop using NOR gate

The Design of Efficient Viterbi Decoder and Realization by FPGA

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

P.Akila 1. P a g e 60

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Unit 11. Latches and Flip-Flops

RS flip-flop using NOR gate

A Survey on Post-Placement Techniques of Multibit Flip-Flops

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

MODULE 3. Combinational & Sequential logic

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

ELCT201: DIGITAL LOGIC DESIGN

CHAPTER 4: Logic Circuits

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

CHAPTER 4: Logic Circuits

Flip-flop Clustering by Weighted K-means Algorithm

MC9211 Computer Organization

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

A Low Power Delay Buffer Using Gated Driver Tree

Chapter 5: Synchronous Sequential Logic

CPS311 Lecture: Sequential Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Experiment 8 Introduction to Latches and Flip-Flops and registers

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

Sequential Logic Circuits

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Metastability Analysis of Synchronizer

Synchronous Sequential Logic. Chapter 5

Modeling Latches and Flip-flops

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

In this lecture we will work through a design example from problem statement to digital circuits.

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

Synchronous Sequential Logic

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Design for Testability Part II

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Sequential Logic Basics

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Chapter 8 Design for Testability

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

ASYNCHRONOUS COUNTER CIRCUITS

QDR SRAM DESIGN USING MULTI-BIT FLIP-FLOP M.Ananthi, C.Sathish Kumar 1. INTRODUCTION In memory devices the most

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

`COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Aging Aware Multiplier with AHL using FPGA

Asynchronous (Ripple) Counters


CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

LFSR Counter Implementation in CMOS VLSI

Transcription:

COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works. No Reprint should be done to this paper, all copy right is authenticated to Paper Authors IJIEMR Transactions, online available on 4 th Nov 2018. Link :http://www.ijiemr.org/downloads.php?vol=volume-07&issue=issue-12 Title: ACHIEVEING REDUCED AREA BY MULTI-BIT FLIP FLOP DESIGN Volume 07, Issue 12, Pages: 32 37. Paper Authors K.THEJESWI, MRS.B.SHOBA RANI chaitanya Institute of Technology and Science USE THIS BARCODE TO ACCESS YOUR ONLINE PAPER To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code Vol 07 Issue12, Nov 2018 ISSN 2456 5083 www.ijiemr.org

ACHIEVEING REDUCED AREA BY MULTI-BIT FLIP FLOP DESIGN K.THEJESWI, MRS.B.SHOBA RANI 1 Student, chaitanya Institute of Technology and Science 2 Associate Professor, chaitanya Institute of Technology and Science Abstract: Timing Optimization is one of the most important objectives of the designer in the Modern VLSI world. Memory elements play a vital role on Digital World. The basic memory elements of designer considerations are Latch and flip flop. In this paper, we analyze the design of Single-bit Flipflop (SBFF) and made performance comparison over the Multi-bit Flip-flop (MBFF). For improving Flip flop performance one of the promising way is to merge the clock pulse. The Multi-bit Flip-flop is designed by single clock pulse and achieves same functionality like two single-bit Flip-flop. A shift register is designed using both Single-Bit Flip-Flop (SBFF) and Multi-Bit Flip-Flop (MBFF). This paper analyzes the timing performance of both SBFF and MBFF in Xilinx Virtex-5 family (XC5VLX50). These results in favor of Multi-Bit Flip-Flop as reduction of Clock network such as clock buffer and gate delay. Keyword: Flip-flop, Latch, Clock buffer, Clock network, Gate delay, Single bit flip flop, Multi bit flip flop I. Introduction Optimizations in VLSI have been done on three factors: Area, Power and Timing (Speed).Area optimization means reducing the space of logic which occupy on the die. This is done in both front-end and back-end of design. In front-end design, proper description of simplified Boolean expression and removing unused states will lead to minimize the gate/transistor utilization. Partition, Floor planning, Placement, and routing are perform in back-end of the design which is done by CAD tool.the CAD tool have a specific algorithm for each process to produce an area efficient design similar to Power optimization. Power optimization is to reduce the power dissipation of the design which suffers by operating voltage, operating frequency, and switching activity. The first two factors are merely specified in design constraints but switching activity is a parameter which varies dynamically, based on the way which designs the logic and input vectors. Timing optimization refers to meeting the user constraints in efficient manner without any violation otherwise, improving performance of the design. High performance designs are achieved by proper placement, routing and sizing the element. The word optimization is approached in different ways by merging, instead of sizing the memory element. Some of the basic ideas of timing optimization approach are (a) Circuit re- synthesis (b) gate resizing and (c) Circuit reposition as discussed in paper [1]. In this paper timing optimizations are discussed as making the Vol 07 Issue11, Oct 2018 ISSN 2456 5083 Page 32

optimized memory element which suits for high performance application. The memory element requires more time than the logic gates. Moreover the number of memory elements used in the design has also been increased and are proved in present application such as audio and video decoder. II. Related Work The idea of designing the multi-bit flipflop arises for power considerations and placement rout-ability effectiveness. Some of them are discussed here: Minimization of dynamic clock power leads the way to merge the single-bit flipflops and constructed Multi-Bit Flip-Flops. This merging process also has to satisfy the certain area constraint which decreases the total flip-flop area in synchronous design as given in paper [2]. In paper [3], it discusses the clock power by congested constraints of unallocated bins and the length of constraints of the input and output signals of all the 1-bit flip-flop. Here redundant inverters in merging of single-bit flip-flop are eliminated. The multi-bit flip-flops are mostly viewed as low power design technique, MBFFs with larger bit numbers as possible to gain more clock power saving but larger bit number may lead to severe crosstalk s due to close interconnecting wires as in paper [4]. To address this problem step by step procedure those are creating crosstalk model of MBFF, next coupling Capacitance Generation from these derive Flip-Flop and Intersection Graph [5] are considered. A clustering and Placement is done by reducing the interconnect wire length. Merging of Flip-Flop is done through library that perform a coordinate transformation to identify those flip-flops that can be merged and their legal regions. This approach reduces the wire length considerably [6]. The Digital design uses the single-bit Flip Flop for memory applications and controller design. D flipflops are implemented in two ways which are Master- Slave latch pair and pulse-triggered latches. Most of the design involving standard cell follows Master-Slave approach because of the restricted timing constraints of pulse triggered latches. In master-slave approach, two latches are connected in serial manner with complementary clock signal [8]. III. Proposed system This proposed method is based on paper [6] which gives the idea of merging clock pulse. The working of single-bit D flip flop is similar to the D latch except that the output of D Flip Flop takes the state of the D input at the moment of a positive edge at the clock pin (or negative edge if the clock input is active low) and delays it by one clock cycle. That's why, it is commonly known as delay flips flop. The D Flip-Flop can be interpreted as a delay line or zero order hold. The advantage of the D flip-flop over the D- type "transparent latch" is that the signal on the D input pin is captured the moment the flip-flop is clocked, and subsequent changes on the D input will be ignored until the next clock event. From the timing diagram in fig 1 it is clear that the output Q changes only at the positive edge [9]. At each positive edge the output Q becomes equal to the input D at that instant and this value of Q is held until the next positive edge. Vol 07 Issue11, Oct 2018 ISSN 2456 5083 Page 33

Multi-bit Flip Flop which takes multiple data input and results in multiple data output. The working of multi-bit flip flop is same as single-bit flip flop, whenever the clock gets active state flip flop latches all input to output. For inactive state the flip flop holds the data. The basic structure of multi-bit flip flop is given in fig 2 and its corresponding waveform is given in fig 3. worked for many application such as Serial Bit Communication [7]. We analyze both existing and proposed design using basic sequential circuit of SISO. For existing system, Serial in serial out circuits are constructed by SBFF and MBFF which shown in fig 4 and fig 5. The operation described as arrival of a clock pulse, data at the D input of each flip-flop is transferred to its Q output. At the star, the contents of the register can be set to zero by means of the CLEAR line. If a 1 is to the input of the first flip flop. Then upon the arrival of the fist clock pulse, this 1 is transferred to the output of flip-flop 1. After four clock pulses this 1will be at the output of flip-flop 4. In this manner, a four bit number can be stored in the register. After four more clock pulses, this data will be shifted out of the register. This paper experimented the proposed technique by designing the Serial-In Serial- Out using SBFF and MBFF separately. Designing of SISO has two reasons:- SISO is basic sequential device and easy to analyze. Another one is pipelining, SISO of n-bit register is nothing n-stage pipeline SISO has five major I/O ports CLOCK, two data port as DATA 1 and DATA 2 respectively, and two output port as OUTPUT 1 and OUTPUT 2.In the proposed Vol 07 Issue11, Oct 2018 ISSN 2456 5083 Page 34

system the bits to store the multi-bit flip flop is doubled with existing single bit Flip flop. MBFFs have advantage over SBFF as smaller design area, controllable clock, less delay on clock network and efficient utilization of routing resources. Power analyze are already made in MBFF [5]. V. Experimental Results The analysis of SISO designed using MBFF is targeted and verified on Xilinx FPGA of family virtex-5 (XC5VLX50 FF676 package). The experimental results are tabulated which shown below. In the table, Roman literals I represents SISO using SBFF and II represents SISO using MBFF. TABLE 1 summary the report of our proposed techniques and same compared with existing SBFF. Table 2 gives experimental results of various size of shift register. The constraints taken to considerations are number of flip-flops used, clock buffer count and period analysis as Gate delay and net delay. The number of flip-flops in SISO using SBFF is about half a number in SISO using MBFF. The strategies are to minimizing the clock network having been done using proposed technique by means of reducing the clock drivers. flipflops for meeting the timing requirements. MBFF, bypass two bit of same timing constraints so that much of the timing path which repeated to the single MBFF reduces calculation. Some of the default parameter of FPGA such as default offset after out for clock, default period analysis are most probably fixed and those are not have much variation. Fig 6shows the graphical representation for comparing flipflop counts on SISO between existing and proposed techniques. The first bar represents the flip-flop count of SISO using existing techniques and the second bar represents the flip-flop count of SISO using proposed techniques. Our proposed technique reduces the designer s difficulty for analyzing all the Vol 07 Issue11, Oct 2018 ISSN 2456 5083 Page 35

Fig 7 and fig 8 shows that our proposed techniques reduced clock network and net delay. The size of clock network is auxiliary parameter for low power designs, without making any variation on timing parameter. If clock networks get minimized the power dissipation of High speed designs more efficient than previous, this make the design more reliable. V.Conclusion In present VLSI design area is one of the important issues to be addressed. To achieve reduced area various types of flip flops and shift register are discussed. Single bit flip flop and Multi bit flip flop are implemented to achieve less usage of area. Various size of shift register is implemented with Multi bit flip flop. This proposed method is implemented in Xilinx Virtex 5 FPGA family. Experimental results are targeted to number of flip flop usage, delay and clock buffer. Flip flop area usage is minimized approximately to 50%. Thus this proposed method is more suitable for reduction of hardware. Reference: [1] Wen-Ben Jone and Chen-Liang Fang, Timing Optimization by Gate Resizing and Critical Path Identification, Design Automation Conference,1993 [2] Zhi-Wei Chen and Jin-Tai Yan, RoutabilityDriven Flip-Flop Merging Process for Clock Power Reduction, Computer Design (ICCD) IEEE International Conference, 2010 [3] Jin-Tai Yan and Zhi-Wei Chen, Construction of Constrained Multi-Bit Flip- Flops for Clock Power Reduction, Green Circuits and Systems (ICGCS) International Conference, 2010 [4] Chih-Cheng Hsu, Yao-Tsung Chang and Mark Po-Hung Lin, Crosstalk-Aware Power Optimization with Multi-Bit Flip-Flops, 17th Asia and South Pacific Design Automation Conference, 2012 [5] Mark Po-Hung Lin, Chih-Cheng Hsu, and YaoTsung Chang, Recent Research in Clock Power Saving with Multi-Bit Flip- Flops, Midwest Symposium on Circuits and Systems Conference IEEE, 2011 [6]Ya-Ting Shyu et. Al., Effective and Efficient Approach for Power Reduction by Using Muti-Bit Flip-Flops, IEEE transactions on very large scale integration systems, 2012 [7] Rostislav Dobkin, Ran Ginosar, and Avionam Kolody, Fast Asynchronous Shift Register for BitSerial Communication,12th IEEE international symposium on asynchronous circuits and systems,2006 [8]LI Xia Yu, JIA Song, LIU LiMin, WANG Yuan and ZHANG GangGang,Design of Novel, Semitransparent flip-flops for high speed and low power application, science china Press and Springerverlag Berlin Heidelberg,2012 [9]Vladimir Stojanovic and Vojin G. Oklobdzija,Comparative Analysis of Master-Slave Latches and Flip-Flops for High-Performance and LowPower Systems, IEEE journal of solid-state circuits, vol 34,no-4,april 1999 Vol 07 Issue11, Oct 2018 ISSN 2456 5083 Page 36