ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Similar documents
VLSI System Testing. BIST Motivation

Lecture 23 Design for Testability (DFT): Full-Scan

Design for Testability

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

VLSI Test Technology and Reliability (ET4076)

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Overview: Logic BIST

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Chapter 8 Design for Testability

Testing Digital Systems II

Testing Digital Systems II

Design of Fault Coverage Test Pattern Generator Using LFSR

This Chapter describes the concepts of scan based testing, issues in testing, need

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Scan. This is a sample of the first 15 pages of the Scan chapter.

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Lecture 18 Design For Test (DFT)

K.T. Tim Cheng 07_dft, v Testability

ECE 715 System on Chip Design and Test. Lecture 22

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Unit V Design for Testability

Based on slides/material by. Topic Testing. Logic Verification. Testing

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Design for Testability Part II

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Digital Integrated Circuits Lecture 19: Design for Testability

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Testing Sequential Circuits

Sharif University of Technology. SoC: Introduction

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

TKK S ASIC-PIIRIEN SUUNNITTELU

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

At-speed Testing of SOC ICs

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Slide Set 14. Design for Testability

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

ISSN (c) MIT Publications

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

New Directions in Manufacturing Test

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Testing of Cryptographic Hardware

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

At-speed testing made easy

Chapter 5 Flip-Flops and Related Devices

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

DESIGN FOR TESTABILITY

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

VirtualScan TM An Application Story

Diagnosis of Resistive open Fault using Scan Based Techniques

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

SIC Vector Generation Using Test per Clock and Test per Scan

Controlling Peak Power During Scan Testing


The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

Computer Architecture and Organization

Testing Digital Systems II

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Nodari S. Sitchinava

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Design for test methods to reduce test set size

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

High-Frequency, At-Speed Scan Testing

Transactions Brief. Circular BIST With State Skipping

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

WINTER 15 EXAMINATION Model Answer

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

An Experiment to Compare AC Scan and At-Speed Functional Testing

Clock Domain Crossing. Presented by Abramov B. 1

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

I. INTRODUCTION. S Ramkumar. D Punitha

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

2.6 Reset Design Strategy

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Transcription:

407 Computer Aided Design for Electronic Systems Testing and Design for Testability Instructor: Maria K. Michael MKM - 1 Overview VLSI realization process Role of testing, related cost Basic Digital VLSI test concepts Fault Modeling, Test Generation Design for Testability (SCAN, BIST) MKM - 2 1

Electronic Systems Design/Fabrication Cycle MKM - 3 VLSI Realization Process Customer Needs Determine Requirements Needs to be satisfied by the chip, i.e., function of the application Write Specifications Design & Test Development Fabrication Manufacturing Test MKM - Good Chips to Customer 4 2

VLSI Realization Process Customer Needs Determine Requirements Write Specifications Design & Test Development Determine Chip characteristics: Function (I/O), Operating (power, frequency, noise, etc), Physical (packaging, etc), Environmental (temperature, reliability, etc), Other (volume, cost, price, availability, etc). Fabrication Manufacturing Test MKM - Good Chips to Customer 5 VLSI Realization Process Customer Needs Determine Requirements Write Specifications Design & Test Development Architectural Design/Test Logic Design/Test System/Macro-level model Logic/Gate-level model Fabrication Physical Design/Test Transistor/Device-level model Manufacturing Test MKM - Good Chips to Customer 6 3

Abstraction Models System/Macro Level Model, Ex. Data Stack Logic/Gate Level Model, Ex. 4-bit Resister Transistor Level Model Tin(15:0) DataStack tload clr clk Treg tload y1(15:0) y1 T1 N2 2 nsel(1:0) 0 1 Nmux nsel(1:0) Nin nload ssel clr clk Nreg nload clr clk dpush dpop N1 T 0 1 Smux ssel d clr clk dpush dpop stack32x16 full empty MOS Device Level T(15:0) N(15:0) N2(15:0) MKM - 7 VLSI Realization Process (cont.) Customer Needs Determine Requirements Write Specifications Design & Test Development Fabrication Architectural Design/Test Logic Design/Test Physical Design/Test System/Macro-level model Logic/Gate-level model Transistor/Device-level model Manufacturing Test Apply Test, detect/locate fabrication defects MKM - Good Chips to Customer 8 4

Definitions Design synthesis: Given an I/O function, develop a procedure to manufacture a device using known materials and processes. Verification: Predictive analysis to ensure that the synthesized design, when manufactured, will perform the given I/O function. Test: A manufacturing step that ensures that the physical device, manufactured from the synthesized design, has no manufacturing defect. MKM - 9 Verification vs. Test Verifies correctness of design. Performed by simulation, hardware emulation, or formal methods. Performed once prior to manufacturing. Responsible for quality of design. Verifies correctness of manufactured hardware. Two-part process: 1. Test generation: software process executed once during design 2. Test application: electrical tests applied to hardware Test application performed on every manufactured device. Responsible for quality of devices. MKM - 10 5

Note on Reliability Testing is related to Reliability, but often confused A chip that passes testing is certainly more reliable than the one that has not (if used) However, a chip is not necessarily reliable because it has passed testing! On-line testing contributes to reliability Reliability is currently receiving wider attention Necessary for non-critical systems due to scaling and larger integration (difficulty w/ testing, more transient/ ware-out faults, by-passing of permanent faults, ) MKM - 11 Digital VLSI test concept Basic scheme for testing internal components Fault F Expected (good) response R Circuit Under Test (CUT) Test response R Test patterns T Response Comparison Test Generation Test Outcome Pass: R=R Fail: R R Test Equipment MKM - 12 6

Roles of Testing Detection: Determination whether or not the device under test (DUT) has some fault. Diagnosis: Identification of a specific fault that is present on DUT. Device characterization: Determination and correction of errors in design and/or test procedure. Failure mode analysis (FMA): Determination of manufacturing process errors that may have caused defects on the DUT. MKM - 13 Costs of Testing Design for testability (DFT) Chip area overhead and yield reduction Performance overhead Software processes of test Test generation and fault simulation Test programming and debugging Manufacturing test Automatic Test Equipment (ATE) capital cost Test center operational cost MKM - 14 7

Design for Testability (DFT) DFT refers to hardware design styles or added hardware that reduces test generation complexity. Motivation: Test generation complexity increases exponentially with the size of the circuit. Example: Test hardware applies tests to blocks A and B and to internal bus; avoids test generation for combined A and B blocks. PI Test input Logic block A Int. bus Logic block B PO Test output MKM - 15 Cost of Manufacturing Testing in 2000AD 0.5-1.0GHz, analog instruments,1,024 digital pins: ATE purchase price = $1.2M + 1,024 x $3,000 = $4.272M Running cost (five-year linear depreciation) = Depreciation + Maintenance + Operation = $0.854M + $0.085M + $0.5M = $1.439M/year Test cost (24 hour ATE operation) = $1.439M/(365 x 24 x 3,600) = 4.5 cents/second MKM - 16 8

Automatic Test Equipment (ATE) ATE consists of: Powerful computer Powerful 32-bit Digital Signal Processor (DSP) for analog testing Test Program (written in high-level language) running on the computer Probe Head (actually touches the bare or packaged chip to perform fault detection experiments) Probe Card or Membrane Probe (contains electronics to measure signals on chip pin or pad) MKM - 17 LTX FUSION HF ATE MKM - 18 9

Economics for Design for Testability DFT can reduce cost of testing Consider life-cycle cost; DFT on chip may impact the costs at board and system levels. Can lead to performance degradation Consider costs vs benefits - Cost examples: reduced yield due to area overhead, yield loss due to non-functional tests - Benefit examples: Reduced ATE cost due to selftest, inexpensive alternatives to burn-in test MKM - 19 Benefits and Costs of DFT BIST Example Level Design and test Fabrication Manuf. Test Maintenance test Diagnosis and repair Service interruption Chips + / - + - Boards + / - + - - System + / - + - - - - + Cost increase - Cost saving +/- Cost increase may balance cost reduction MKM - 20 10

Fault Modeling Bridges gap between physical reality and mathematical abstraction Allows application of analytical tools Thus, essential in design MKM - 21 Ideal Tests Ideal tests detect all defects produced in the manufacturing process. Ideal tests pass all functionally good devices. Very large numbers and varieties of possible defects need to be tested. Difficult to generate tests for some real defects. Defect-oriented testing is an open problem. MKM - 22 11

Real Tests Based on analyzable fault models, which may not map on real defects. Incomplete coverage of modeled faults due to high complexity. Some good chips are rejected. The fraction (or percentage) of such chips is called the yield loss. Some bad chips pass tests. The fraction (or percentage) of bad chips among all passing chips is called the defect level. MKM - 23 Defects, Errors, and Faults Defect: Unintended difference between manufactured h/w and design Error: A wrong output signal produced by a defective system (observable) Fault: Representation of a defect at an abstracted level MKM - 24 12

Some real defects in chips Processing defects Missing contact windows Parasitic transistors Oxide breakdown... Material defects Bulk defects (cracks, crystal imperfections) Surface impurities (ion migration)... Time-dependent failures Dielectric breakdown Electromigration... Packaging failures Contact degradation Seal leaks... Ref.: M. J. Howes and D. V. Morgan, Reliability and Degradation - Semiconductor Devices and Circuits, Wiley, 1981. MKM - 25 Levels of Fault Models Related to the level of circuit model Behavioral/High/Functional Level Logic Level Logic faults, ex. stuck-at, bridging Delay faults Transistor Level Technology dependent Realistic fault models (ex. I DDQ ) MKM - 26 13

Common Fault Models Bridging faults Single stuck-at faults Transistor open and short faults Memory faults PLD faults (stuck-at, cross-point, bridging) Functional faults (processors) Delay faults (transition, path) IDDQ faults MKM - 27 Single stuck-at fault model Three properties define a single stuck-at fault Only one line is faulty The faulty line is permanently set to 0 or 1 The fault can be at an input or output of a gate Example: XOR circuit has 12 fault sites ( ) and 24 single stuck-at faults c j a b d e g h i z f k MKM - 28 14

0 0 Single stuck-at fault model Consider the stuck-at-0 fault at line h (h s-a-0) A test is an input combination s.t. the value at output z when there is no fault (good cct) is different from the value at output z where line h is s-a-0 (faulty cct). A test must: Activate the fault (bring a value 1 at h) Propagate its effect at some primary output Faulty circuit value Good circuit value c 0 j s-a-0 1/1 a d g 0/0 h z b e 1 i 1 f 0 k MKM - 29 0 0 Single stuck-at fault model Consider the stuck-at-0 fault at line h (h s-a-0) A test is an input combination s.t. the value at output z when there is no fault (good cct) is different from the value at output z where line h is s-a-0 (faulty cct). A test must: Activate the fault (bring a value 1 at h) Propagate its effect at some primary output Faulty circuit value Good circuit value c 0 j s-a-0 1/1 0 a d g 1/1 h z 1 b e 1 i 0 f 1 k MKM - 30 15

0 0 Consider the stuck-at-0 fault at line h (h s-a-0) A test is an input combination s.t. the value at output z when there is no fault (good cct) is different from the value at output z where line h is s-a-0 (faulty cct). A test must: Activate the fault (bring a value 1 at h) Propagate its effect at some primary output Faulty circuit value Good circuit value c 1 j s-a-0 0/1 0 a d g 1/0 1 h z b e 1 i 1 0 1 MKM - 31 Single stuck-at fault model f 0 Test vector for h s-a-0 fault k Fault Equivalence Number of fault sites in a Boolean gate circuit = #PI + #gates + # (fanout branches). Fault equivalence: Two faults f1 and f2 are equivalent if all tests that detect f1 also detect f2, and vice-versa. If faults f1 and f2 are equivalent then the corresponding faulty functions are identical. Fault collapsing: All single faults of a logic circuit can be divided into disjoint equivalence subsets, where all faults in a subset are mutually equivalent. A collapsed fault set contains one fault from each equivalence subset. MKM - 32 16

Equivalence Rules AND OR WIRE/BUFFER sa0 sa0 sa1 sa1 INVERTER sa0 sa1 NOT sa1 sa0 NAND NOR sa0 sa1 FANOUT sa0 sa1 sa0 sa1 MKM - 33 Equivalence Example Faults in red removed by equivalence collapsing MKM - 34 12 faults collapsed 20 Collapse ratio = = 0.625 32 17

Fault Dominance If all tests of some fault F1 detect another fault F2, then F2 is said to dominate F1. Dominance fault collapsing: If fault F2 dominates F1, then F2 is removed from the fault list. When dominance fault collapsing is used, it is sufficient to consider only the input faults of Boolean gates. See the next example. In a tree circuit (without fanouts) PI faults form a dominance collapsed fault set. If two faults dominate each other then they are equivalent. MKM - 35 Dominance Example F1 s-a-1 s-a-1 s-a-1 F2 s-a-1 All tests of F2 s-a-1 s-a-0 A dominance collapsed fault set (after equivalence collapsing) 001 110 010 000 101 011 100 Only test of F1 MKM - 36 18

Checkpoint Theorem Primary inputs and fanout branches of a combinational circuit are called checkpoints. Checkpoint theorem: A test set that detects all single (multiple) stuck-at faults on all checkpoints of a combinational circuit, also detects all single (multiple) stuck-at faults in that circuit. Total fault sites = 16 Checkpoints ( ) = 10 MKM - 37 Redundant/Untestable Faults Some single stuck-at faults are identified by fault simulators or test generators as: Redundant fault à No test exists for the fault. Untestable fault à Test generator is unable to find a test. MKM - 38 19

Multiple Stuck-at Faults A multiple stuck-at fault means that any set of lines is stuck-at some combination of (0,1) values. The total number of single and multiple stuck-at faults in a circuit with k single fault sites is 3 k -1. A single fault test can fail to detect the target fault if another fault is also present, however, such masking of one fault by another is rare. Statistically, single fault tests cover a very large number of multiple faults. MKM - 39 Automatic Test Pattern Generation (ATPG) The process of generating patterns to test a circuit. Basic steps involved: Fault activation (injection) Fault propagation ATPG Algebra (for stuck-at fault model) 5-value composite algebra Symbol Meaning (Fault-free/Fault Value) D 1/0 D 0/1 0 0/0 1 1/1 X X/X A B sa0 D 1 F= A.B D MKM - 40 20

Automatic Test Pattern Generation (ATPG) The process of generating patterns to test a circuit. Basic steps involved: Fault activation (injection) Fault propagation Propagation of error value (D or D) 1 D 1 1 D 0 D D 1 MKM - 41 Automatic Test Pattern Generation (ATPG) The process of generating patterns to test a circuit. Basic steps involved: Fault activation (injection) Fault propagation Propagation of error value (D or D) D D D D 1 MKM - 42 21

Automatic Test Pattern Generation (ATPG) The process of generating patterns to test a circuit. Basic steps involved: Fault activation (injection) Fault propagation Propagation of error value (D or D) 0 D 1 1 D 0 D D D MKM - 43 Automatic Test Pattern Generation (ATPG) The process of generating patterns to test a circuit. Basic steps involved: Fault activation (injection) Fault propagation Propagation of error value (D or D) Structural Vs Symbolic ATPG techniques Structural: Fast for easy to test faults Identify one or more tests Symbolic: Identify complete set of tests per fault Depends of boolean function representation MKM - 44 22

Design For Testability (DFT) Design for testability (DFT) refers to those design techniques that make test generation and test application cost-effective. DFT methods for VLSI circuits (digital/memory/mixed): Ad-hoc methods Structured methods: Scan for Digital Logic Partial Scan Built-in self-test (BIST) for Memory Boundary scan for access to embedded components Analog test bus Systems (SoCs) test MKM - 45 Ad-Hoc DFT Methods Good design practices learnt through experience are used as guidelines: Avoid asynchronous (unclocked) feedback. Make flip-flops initializable. Avoid redundant gates. Avoid large fanin gates. Provide test control for difficult-to-control signals. Avoid gated clocks. Consider ATE requirements (tristates, etc.) MKM - 46 23

Ad-Hoc DFT Methods Design reviews conducted by experts or design auditing tools. Modify Circuit Insert test points Disadvantages of ad-hoc DFT methods: Experts and tools not always available. Test generation is often manual with no guarantee of high fault coverage. Circuits have become too large for manual inspection Design iterations may be necessary. MKM - 47 Structured DFT Methods Alternative to Ad-Hoc methods: Extra logic and signals added to facilitate testing according to some predefined procedure. Divided into Scan and Built-In-Self-Test (BIST) Allow for Automatic Test Pattern Generation (ATPG) Larger circuits can be handled MKM - 48 24

Scan Design - Full/Partial à Obtain control and observability of all/some flip-flops Test structure (hardware) is added to the verified design: Add a test control (TC) primary input. Replace flip-flops by scan flip-flops (SFF) and connect to form one or more shift registers in the test mode. Make input/output of each scan shift register controllable/ observable from PI/PO. Use combinational ATPG to obtain tests for all testable faults in the combinational logic. Add shift register tests and convert ATPG tests into scan sequences for use in manufacturing test. Circuit is designed using pre-specified design rules. MKM - 49 Adding Scan Structure PI PO Combinational logic SFF SFF SCANOUT SFF TC or TCK SCANIN Not shown: CK or MCK/SCK feed all SFFs. MKM - 50 25

Built-In Self Test (BIST) Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment) Software tests for field test and diagnosis: Low hardware fault coverage Low diagnostic resolution Slow to operate Hardware BIST benefits: Lower system test effort Improved system maintenance and repair Improved component repair Better diagnosis MKM - 51 Costly Test Problems Alleviated by BIST Increasing chip logic-to-pin ratio harder observability Increasingly dense devices and faster clocks Increasing test generation and application times Increasing size of test vectors stored in ATE Expensive ATE needed for 1 GHz clocking chips Hard testability insertion designers unfamiliar with gate-level logic, since they design at behavioral level Shortage of test engineers Circuit testing cannot be easily partitioned MKM - 52 26

Economics BIST Costs Chip area overhead for: Test controller Hardware pattern generator Hardware response compacter Testing of BIST hardware Pin overhead -- At least 1 pin needed to activate BIST operation Performance overhead extra path delays due to BIST Yield loss due to increased chip area or more chips In system because of BIST Reliability reduction due to increased area Increased BIST hardware complexity happens when BIST hardware is made testable MKM - 53 BIST Benefits Faults tested: Single combinational / sequential stuck-at faults Delay faults Single stuck-at faults in BIST hardware BIST benefits Reduced testing and maintenance cost Lower test generation cost Reduced storage / maintenance of test patterns Simpler and less expensive ATE Can test many units in parallel Shorter test application times Can test at functional system speed MKM - 54 27

Hierarchical BIST Process Test controller Hardware that activates self-test simultaneously on all PCBs Each board controller activates parallel chip BIST Diagnosis effective only if very high fault coverage MKM - 55 Chip BIST Architecture Note: BIST cannot test wires and transistors: From PI pins to Input MUX From POs to output pins MKM - 56 28

BILBO Works as Both a PG and a RC Built-in Logic Block Observer (BILBO) -- 4 modes: 1. Flip-flop 2. LFSR pattern generator 3. LFSR response compacter 4. Scan chain for flip-flops MKM - 57 Complex BIST Architecture Testing epoch I: LFSR1 generates tests for CUT1 and CUT2 BILBO2 (LFSR3) compacts CUT1 (CUT2) Testing epoch II: BILBO2 generates test patterns for CUT3 LFSR3 compacts CUT3 response MKM - 58 29

Bus-Based BIST Architecture Self-test control broadcasts patterns to each CUT over bus parallel pattern generation Awaits bus transactions showing CUT s responses to the patterns: serialized compaction MKM - 59 BIST Pattern Generation Store in ROM too expensive Pseudo random (LFSR) Preferred method Binary counters (Exhaustive) use more hardware than LFSR Modified counters still hardware intensive LFSR and ROM LFSR combined with a few patterns in ROM MKM - 60 30

Exhaustive Pattern Generation Shows that every state and transition works For n-input circuits, requires all 2 n vectors Impractical for n > 20 MKM - 61 Random Pattern Testing Bottom curve: Random-Pattern Resistant circuit (ex. PLAs) MKM - 62 31

Pseudo-Random Pattern Generation Standard Linear Feedback Shift Register (LFSR, n-stage) Produces patterns algorithmically repeatable Has most of desirable random # properties Need not cover all 2 n input combinations Long sequences needed for good fault coverage MKM - 63 32