ATF15xx-DK3 Development Kit... User Guide

Similar documents
CHAPTER 3 EXPERIMENTAL SETUP

University Program Design Laboratory Package

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

University Program Design Laboratory Package

University Program Design Laboratory Package

Memec Spartan-II LC User s Guide

CoLinkEx JTAG/SWD adapter USER MANUAL

SignalTap Plus System Analyzer

SignalTap Analysis in the Quartus II Software Version 2.0

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Using SignalTap II in the Quartus II Software

AD9884A Evaluation Kit Documentation

XTAL Bank DDS Version 0.02 Sept Preliminary, highly likely to contain numerous errors

PRELIMINARY INFORMATION. Professional Signal Generation and Monitoring Options for RIFEforLIFE Research Equipment

Remote Diagnostics and Upgrades

Digital. Digital. Revision: v0.19 Date: : / 76

XJTAG DFT Assistant for

Configuring FLASHlogic Devices

XJTAG DFT Assistant for

XJTAG DFT Assistant for

Programmable Logic Design I

Universal ByteBlaster

Using the XSV Board Xchecker Interface

XJTAG DFT Assistant for

Manual Version Ver 1.0

Data Acquisition Using LabVIEW

In-System Programmability Guidelines

EECS 140 Laboratory Exercise 7 PLD Programming

SignalTap: An In-System Logic Analyzer

Syntor X Flash Memory Module Revision C

EEM Digital Systems II

FPGA-BASED EDUCATIONAL LAB PLATFORM

LED Array Board.

Overview of BDM nc. The IEEE JTAG specification is also recommended reading for those unfamiliar with JTAG. 1.2 Overview of BDM Before the intr

DDS VFO CONSTRUCTION MANUAL. DDS VFO Construction Manual Issue 1.1 Page 1

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 65 MSPS DUAL ADC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 105 MSPS ADC

JTAGcable II In Circuit Emulator for Atmel AVR microcontrollers. User s Guide REV 1.0. Many ideas one solution

APPLICATION NOTE 4312 Getting Started with DeepCover Secure Microcontroller (MAXQ1850) EV KIT and the CrossWorks Compiler for the MAXQ30

DX-10 tm Digital Interface User s Guide

How To Build Megavolt s Small Buffered JTAG v1.2

USER'S MANUAL. Getting started with ALEXAN ATMEL AT89C2051/AT89C4051 Training Module - 1

3. Configuration and Testing

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

Laboratory Exercise 7

MSP430 JTAG / BSL connectors

F24X DSK Setup and Tutorial

Combo Board.

Package Contents. LED Protocols Supported. Safety Information. Physical Dimensions

DMC550 Technical Reference

Laboratory Exercise 7

TVS-2-TAIT VPU-15-TAIT

Debugging IDT S-RIO Gen2 Switches Using RapidFET JTAG

MSP430-HG2231 development board Users Manual

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

Highperformance EE PLD ATF1508ASV ATF1508ASVL

Implementing Audio IP in SDI II on Arria V Development Board

Vorne Industries. 87/719 Analog Input Module User's Manual Industrial Drive Itasca, IL (630) Telefax (630)

Saving time & money with JTAG

DVB-T USB SET-TOP BOX

Evaluation Board For ADF Integrated VCO & Frequency Synthesizer

Booya16 SDR Datasheet

EdgeConnect Module Quick Start Guide ITERIS INNOVATION FOR BETTER MOBILITY

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Ten-Tec (865) Service Department:(865)

XDS560R JTAG Emulator Technical Reference

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

SigPlay User s Guide

Altera s Max+plus II Tutorial

JRC ( JTAG Route Controller ) Data Sheet

Experiment # 4 Counters and Logic Analyzer

SAU510-USB ISO PLUS v.2 JTAG Emulator. User s Guide 2013.

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide

User Manual for ASSIST Evaluation & Programming Tool EPT002

Section 24. Programming and Diagnostics

Main Design Project. The Counter. Introduction. Macros. Procedure

Embest Emlink for ARM Cortex-M3. User Manual

THDB_ADA. High-Speed A/D and D/A Development Kit

L12: Reconfigurable Logic Architectures

Main Design Project. The Counter. Introduction. Macros. Procedure

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs

ASTRIX ASIC Microelectronics Presentation Days

Step What to do Expected result What to do if test fails Component tested 1 Visual inspection. Board is accurately assembled

B2 Spice A/D Tutorial Author: B. Mealy revised: July 27, 2006

L11/12: Reconfigurable Logic Architectures

Lab 7: Soldering - Traffic Light Controller ReadMeFirst

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

ivw-ud322 / ivw-ud322f

DM1624, DM1612, DM812

Single cable multiswich programmer PC102W

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

Transmitter Interface Program

DIY KIT MHZ 8-DIGIT FREQUENCY METER

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

Artistic Licence Engineering Ltd Firmware Version V3.03 Manual Revision V1-9

Model 6010 Four Channel 20-Bit Audio ADC Data Pack

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

PZ5128C/PZ5128N 128 macrocell CPLD with enhanced clocking

Transcription:

ATF15xx-DK3 Development Kit... User Guide

Table of Contents Section 1 Introduction... 1-1 1.1 CPLD Development/ Programmer Kit...1-1 1.2 Kit Contents...1-1 1.3 Kit Features...1-1 1.3.1 CPLD Development/Programmer Board...1-1 1.3.2 Logic Doubling CPLDs...1-2 1.3.3 CPLD ISP Download Cable...1-2 1.3.4 PLD Software CD-ROM...1-2 1.4 Device Support...1-2 1.5 System Requirements...1-3 1.6 Ordering Information...1-3 1.7 References...1-4 1.7.1 ProChip Designer...1-4 1.7.2 Atmel-WinCUPL...1-4 1.7.3 ATMISP...1-4 1.7.4 POF2JED...1-4 1.8 Technical Support...1-4 Section 2 Hardware Description... 2-1 2.1 Atmel CPLD Development/ Programmer Board...2-1 2.1.1 7-segment Displays with Selectable Jumpers...2-2 2.1.2 LEDs with Selectable Jumpers...2-5 2.1.3 Push-button Switches with Selectable Jumpers for Pins...2-6 2.1.4 Push-button Switches with Selectable Jumpers for GCLR and OE1 Pins...2-8 2.1.5 2 MHz Oscillator and Clock Selection Jumper...2-9 2.1.6 and VCCINT Voltage Selection Jumpers and LEDs...2-10 2.1.7 ICCIO and ICCINT Jumpers...2-10 2.1.8 Voltage Regulators...2-10 2.1.9 Power Supply Switch and Power LED...2-10 2.1.10 Power Supply Jack and Power Supply Header...2-10 2.1.11 JTAG ISP Connector and TDO Selection Jumper...2-11 2.2 Socket Adapter Board...2-12 2.3 Atmel CPLD ISP Download Cable...2-13 ATF15xx-DK3 Development Kit User Guide i

Table of Contents Section 3 CPLD Design Flow Tutorial... 3-1 3.1 Create a Project using the New Project Wizard...3-1 3.2 Add a Design File...3-7 3.3 Synthesize the VHDL Design...3-7 3.4 Fit the Synthesized Design File...3-8 3.5 Program and Verify Design...3-10 Section 4 Schematic Diagrams and VHDL File... 4-1 ii ATF15xx-DK3 Development Kit User Guide

Section 1 Introduction 1.1 CPLD Development/ Programmer Kit The Atmel CPLD Development/Programmer Kit (P/N: ATF15xx-DK3) is a complete development system and an In-System Programming (ISP) programmer for the ATF15xx family of industry standard pin compatible Complex Programmable Logic Devices (CPLDs) with Logic Doubling features. This kit provides designers a very quick and easy way to develop prototypes and evaluate new designs with an ATF15xx ISP CPLD. The ATF15xx family of ISP CPLDs includes the ATF15xxAS, ATF15xxASL, ATF15xxASV, ATF15xxASVL, and ATF15xxBE CPLDs. With the availability of the different Socket Adapter Boards to support all the package types (1) offered in the ATF15xx family of ISP CPLDs, this CPLD Development/Programmer Board can be used as an ISP programmer to program the ATF15xx ISP CPLDs in all the available package types (1) through the industry standard JTAG interface (IEEE 1149.1). 1.2 Kit Contents CPLD Development/Programmer Board 44-pin TQFP Socket Adapter Board (P/N: ATF15xx-DK3-SAA44) (2) Atmel CPLD ISP Multi-Volt (MV) Download Cable Atmel PLD Software CDs (includes ProChip Designer, Precision RTL Synthesis, ModelSim, latest ProChip patch, Atmel-WinCUPL, and other EPLD software) Two 44-pin TQFP Sample Devices (one ATF1502BE and one ATF1504ASV) Notes: 1. Socket adapter board for 100-pin PQFP is not offered. 2. Only the 44-pin TQFP Socket Adapter Board is included in this kit. Other Socket Adapter Boards are sold separately. Please refer to Section 1.6 for ordering information of the Socket Adapter Boards. 1.3 Kit Features 1.3.1 CPLD Development/ Programmer Board 10-pin JTAG-ISP port Regulated power supply circuits for 9V DC power source Selectable 5V, 3.3V, 2.5V, or 1.8V voltage supply Selectable 1.8V, 3.3V, or 5.0V core voltage supply ATF15xx-DK3 Development Kit User Guide 1-1

Introduction 44-pin TQFP Socket Adapter Board Headers for pins of the ATF15xx device 2 MHz Crystal Oscillator Four 7-segment LED displays Eight individual LEDs Eight push-button switches Global Clear and Output Enable push-button switches Current measurement jumpers 1.3.2 Logic Doubling CPLDs ATF1502BE 1.8V low-power 32-macrocell ISP CPLD with Logic Doubling architecture ATF1504ASV 3.3V 64-macrocell ISP CPLD with Logic Doubling architecture 1.3.3 CPLD ISP Download Cable 5V/3.3V/2.5V/1.8V ISP Download Cable for PC Parallel Printer (LPT) Port 1.3.4 PLD Software CD- ROM Free Atmel-WinCUPL Design Software ProChip Designer v4.0 ProChip Designer v4.0 Patch Precision RTL Synthesis ModelSim Atmel CPLD ISP Software (ATMISP) POF2JED Conversion Utility User Guides and Tutorials 1.4 Device Support The Atmel CPLD Development/Programmer Board supports the following devices in all speed grades and packages (except 100-PQFP): ATF1502BE ATF1502AS/ASL ATF1504BE ATF1504AS/ASL ATF1508ASV/ASVL ATF1502ASV ATF1504ASV/ASVL ATF1508AS/ASL 1-2 ATF15xx-DK3 Development Kit User Guide

Introduction 1.5 System Requirements The minimum hardware and software requirements to program an ATF15xx ISP CPLD designed using the ProChip Designer Software on the CPLD Development/Programmer Board through the Atmel CPLD ISP Software (ATMISP) V6.0 or later are: Pentium or Pentium-compatible microprocessor-based computer Windows XP, Windows 98, Windows NT 4.0, or Windows 2000 64-MByte RAM 200-MByte free hard disk space Windows-supported mouse Available parallel printer (LPT) port 9V DC power supply with 500 ma of supply current SVGA monitor (800 x 600 resolution) 1.6 Ordering Information Part Number ATF15xx-DK3 ATF15xxDK3-SAA100 ATF15xxDK3-SAJ44 ATF15xxDK3-SAJ84 ATF15xxDK3-SAA44 Description Atmel CPLD Development/Programmer Kit (includes ATF15xxDK3-SAA44) 100-pin TQFP Socket Adapter Board for DK3 Board 44-pin PLCC Socket Adapter Board for DK3 Board 84-pin PLCC Socket Adapter Board for DK3 Board 44-pin TQFP Socket Adapter Board for DK3 Board Other socket adapters to support other packages will be available in the near future. ATF15xx-DK3 Development Kit User Guide 1-3

Introduction 1.7 References To help PLD designers use the different Atmel PLD software, documentation such as help files, tutorials, application notes/briefs, and user guides are available. 1.7.1 ProChip Designer 1.7.2 Atmel-WinCUPL 1.7.3 ATMISP 1.7.4 POF2JED ProChip Desinger Help Files Tutorials Known Problems & Solutions Help Files CUPL Programmers Reference Guide Tutorials Known Problems & Solutions Help Files Tutorials Known Problems & Solutions ATF15xx Conversion Application Brief From the ProChip Designer main window, click on HELP and then select PROCHIP DESIGNER HELP. From the ProChip Designer main window, click on HELP and then select TUTORIALS. From the ProChip Designer main window, click on HELP and then select REVIEW KPS. From the Atmel-WinCUPL main window, click on HELP and then select CONTENTS. From the Atmel-WinCUPL main window, click on HELP and then select CUPL PROGRAMMERS REFERENCE. From the Atmel-WinCUPL main window, click on HELP, select ATMEL INFO and then select TUTORIAL1.PDF. From the Atmel-WinCUPL main window, click on HELP, select ATMEL INFO and then select CUPL_BUG.PDF. From the ATMISP main window, click on HELP and then select ISP HELP. From the ATMISP main window, click on HELP, and then select ATMISP TUTORIAL. Using Windows Explorer, go to the directory where ATMISP is installed and open the README.TXT file through any ASCII text editor. from the POF2JED main window, click on HELP and then select CONVERSION OPTIONS. 1.8 Technical Support For technical support on any Atmel PLD related issues, please contact Atmel PLD Applications Group at: URL: www.atmel.com/dyn/products/support.asp FAQ: www.atmel.com/dyn/products/tech_support.asp?faq=y Hotline: 1-408-436-4333 Email : pld@atmel.com 1-4 ATF15xx-DK3 Development Kit User Guide

Section 2 Hardware Description 2.1 Atmel CPLD Development/ Programmer Board Atmel CPLD Development/Programmer Board along with the Socket Adapter Board as shown in Figure 2-1 contains many features that designers will find very useful when developing, prototyping, or evaluating their ATF15xx CPLD design. Features such as push-button switches, LEDs, 7-segment displays, 2-MHz crystal oscillator, 5V/3.3V/2.5V/1.8V VCC selector, 1.8V/3.3V/5.0V VCCINT selector, JTAG-ISP port, and socket adapters make this a very versatile starter/development kit and an ISP programmer for the ATF15xx family of JTAG-ISP CPLDs. Figure 2-1. CPLD Development/Programmer Board with 44-pin TQFP Socket Adapter Board Voltage Regulators VccIO Selector GCLR Switch GOE Switch VccIO LED VCCINT Selector VccINT LED Power LED Clock Selector 7-Segment Displays IccIO Jumper IccINT Jumper ATF15xxDK3-SAA44 Socket Adapter Board Power Switch Oscillator User Pin Headers Power Supply Jack Power Supply Header JTAG Cascade Jumper JTAG ISP Header Device Socket LEDs Push-Button Switches ATF15xx-DK3 Development Kit User Guide 2-1

Hardware Description 2.1.1 7-segment Displays with Selectable Jumpers Atmel CPLD Development/Programmer Board contains four seven-segment displays to allow the designers to observe the outputs of the ATF15xx CPLD. These four displays are labeled DSP1, DSP2, DSP3, and DSP4, and have common anode LEDs with the common anode lines connected to ( supply voltage for the CPLD) through series resistors with selectable jumpers labeled JPDSP1, JPDSP2, JPDSP3, or JPDSP4. These jumpers can be removed to disable the displays by unconnecting the to the displays. Individual cathode lines are connected to the pins of the ATF15xx CPLD on the CPLD Development/Programmer Board. To turn on a particular segment including the DOT of a display, the corresponding ATF15xx pin connected to this LED segment must be in a logic low state with the corresponding selectable jumper set. Hence, the outputs of the ATF15xx need to be configured as active-low outputs in the design file. These displays work best at 2.5V or higher. Each segment of each display is hard-wired to one specific pin of the ATF15xx. For the higher pin count devices (100-pin and larger), all seven segments and the DOT segments of the four displays are connected to the pins of the ATF15xx. However, for the lower pin count devices, only a subset of the displays (1st and 4th displays) are connected to the ATF15xx s pins. Tables 2-1, 2-2, 2-3, and 2-4 show the connections for 7-segment displays to the ATF15xx in different package types. The circuit schematic of the displays and jumpers is shown in Figure 2-2. Figure 2-2. Circuit Diagram of 7-segment Display and Jumpers 2-2 ATF15xx-DK3 Development Kit User Guide

Hardware Description Table 2-1. Connections of ATF15xx 44-pin TQFP to 7-segment Displays DSP/Segment PLD Pin # DSP/Segment PLD Pin # 1/A 27 3/A NC 1/B 33 3/B NC 1/C 30 3/C NC 1/D 21 3/D NC 1/E 18 3/E NC 1/F 23 3/F NC 1/G 20 3/G NC 1/DOT 31 3/DOT NC 2/A NC 4/A 3 2/B NC 4/B 10 2/C NC 4/C 6 2/D NC 4/D 43 2/E NC 4/E 35 2/F NC 4/F 42 2/G NC 4/G 34 2/DOT NC 4/DOT 11 Table 2-2. Connections of ATF15xx 44-pin PLCC to 7-segment Displays DSP/Segment PLD Pin # DSP/Segment PLD Pin # 1/A 33 3/A NC 1/B 39 3/B NC 1/C 36 3/C NC 1/D 27 3/D NC 1/E 24 3/E NC 1/F 29 3/F NC 1/G 26 3/G NC 1/DOT 37 3/DOT NC 2/A NC 4/A 9 2/B NC 4/B 16 2/C NC 4/C 12 2/D NC 4/D 5 2/E NC 4/E 41 2/F NC 4/F 4 2/G NC 4/G 40 2/DOT NC 4/DOT 17 ATF15xx-DK3 Development Kit User Guide 2-3

Hardware Description Table 2-3. Connections of ATF15xx 84-pin PLCC to 7-segment Displays DSP/Segment PLD Pin # DSP/Segment PLD Pin # 1/A 68 3/A 22 1/B 74 3/B 28 1/C 70 3/C 25 1/D 63 3/D 21 1/E 58 3/E 16 1/F 65 3/F 17 1/G 61 3/G 12 1/DOT 73 3/DOT 29 2/A 52 4/A 5 2/B 57 4/B 10 2/C 55 4/C 8 2/D 48 4/D 79 2/E 41 4/E 76 2/F 50 4/F 77 2/G 45 4/G 75 2/DOT 50 4/DOT 11 Table 2-4. Connections of ATF15xx 100-pin TQFP to 7-segment Displays DSP/Segment PLD Pin # DSP/Segment PLD Pin # 1/A 67 3/A 13 1/B 71 3/B 19 1/C 69 3/C 16 1/D 61 3/D 8 1/E 57 3/E 83 1/F 64 3/F 6 1/G 60 3/G 92 1/DOT 75 3/DOT 20 2/A 52 4/A 100 2/B 54 4/B 94 2/C 47 4/C 97 2/D 41 4/D 81 2/E 46 4/E 76 2/F 40 4/F 80 2/G 45 4/G 79 2/DOT 56 4/DOT 93 2-4 ATF15xx-DK3 Development Kit User Guide

Hardware Description 2.1.2 LEDs with Selectable Jumpers Atmel CPLD Development/Programmer Board has eight individual LEDs, which allow designers to display the output signals from the user s of the ATF15xx CPLD. These eight LEDs are labeled LED1 to LED8 on the Atmel CPLD Development/Programmer Board. The cathode of each LED is connected to ground through a series resistor while the anode of each LED is connected to a user pin of the CPLD through the JPL1/JPL2/PL3/JPL4/JPL5/JPL6/JPL7/JPL8 selectable jumper. These jumpers can be removed to disable the LEDs by unconnecting the anodes of the LEDs to the pins of the CPLD. Figure 2-3 shows the circuit diagram of the LEDs with the selection jumpers. To turn on a particular LED, the corresponding ATF15xx pin connected to the LED must be in a logic high state with the corresponding jumper set. Hence, the outputs of the ATF15xx need to be configured as active-high outputs in the design files. These LEDs work best at 2.5V or higher. The lower pin-count devices (44-pin) only have four s connected to LED1, LED2, LED3, and LED4. For the higher pin-count devices (100-pin and larger), all eight LEDs are connected to the s of the device. Tables 2-5, 2-6, 2-7, and 2-8 show the connections of the CPLD s to the LEDs in the different package types. Figure 2-3. Circuit Diagram of the LEDs and Jumpers Table 2-5. Connections of ATF15xx 44-pin TQFP to LEDs LED # PLD Pin # LED8 LED2 25 LED3 22 LED4 19 Table 2-6. Connections of ATF15xx 44-pin PLCC to LEDs LED # PLD Pin # LED1 34 LED2 31 LED3 28 LED4 25 ATF15xx-DK3 Development Kit User Guide 2-5

Hardware Description Table 2-7. Connections of ATF15xx 84-pin PLCC to LEDs LED # PLD Pin # LED1 69 LED2 67 LED3 64 LED4 60 LED5 27 LED6 24 LED7 18 LED8 15 Table 2-8. Connections of ATF15xx 100-pin TQFP to LEDs LED # PLD Pin # LED1 68 LED2 65 LED3 63 LED4 58 LED5 17 LED6 14 LED7 10 LED8 9 2.1.3 Push-button Switches with Selectable Jumpers for Pins Atmel CPLD Development/Programmer Board contains eight push-button switches, which are connected to the pins of the CPLD. They allow designers to send input logic signals to the user pins of the ATF15xx CPLD. These eight switches are labeled SW1 to SW8 on the Atmel CPLD Development/Programmer Board. One end of each input push-button switch is connected to while the other end of each pushbutton switch is connected to a pull-down resistor and then connected to the specific pin of the CPLD through the JPS1/JPS2/JPS3/JPS4/JPS5/JPS6/JPS7/JPS8 selectable jumper. If any one of these switches is pressed and the corresponding jumper is set, the specific pin of the device will be driven to a logic high state by the output of switch circuit. Since each push-button switch is also connected to a pull-down resistor, the input will have a logic low state if the switch is not pressed with the corresponding jumper set. If the push-button jumper is not set, the corresponding pin will be treated as an unconnected pin. Figure 2-4 on page 2-7 is a circuit diagram of the push-button switch and selectable jumper. Tables 2-9, 2-10, 2-11, and 2-12 show the connections of these eight push-button switches to the CPLD pins in the different package types. 2-6 ATF15xx-DK3 Development Kit User Guide

Hardware Description Figure 2-4. Circuit Diagram of the Push-button Switches and Jumpers for the Pins Table 2-9. Connections of ATF15xx 44-pin TQFP to the Switches for Pins Push Button # PLD Pin # SW1 15 SW2 14 SW3 13 SW4 12 SW5 8 SW6 5 SW7 2 SW8 44 Table 2-10. Connections of ATF15xx 44-pin PLCC to the Switches for Pins Push Button # PLD Pin # SW1 SW2 20 SW3 19 SW4 18 SW5 14 SW6 11 SW SW8 6 ATF15xx-DK3 Development Kit User Guide 2-7

Hardware Description Table 2-11. Connections of ATF15xx 84-pin PLCC to the Switches for Pins Push Button # PLD Pin # SW1 54 SW2 51 SW9 SW4 44 SW5 9 SW6 6 SW7 4 SW8 80 Table 2-12. Connections of ATF15xx 100-pin TQFP to the Switches for Pins Push Button # PLD Pin # SW1 48 SW2 36 SW4 SW4 37 SW5 96 SW6 98 SW4 SW8 99 2.1.4 Push-button Switches with Selectable Jumpers for GCLR and OE1 Pins Atmel CPLD Development/Programmer Board also contains two push-button switches for the Global Clear (GCLR) and Output Enable (OE1) pins of the CPLD. They allow the designers to control the logic states of the OE1 and GCLR inputs of the ATF15xx CPLD. These two switches are labeled SW-GCLR and SW-GOE1 on the Atmel CPLD Development/Programmer Board. One end of the SW-GCLR input push-button switch is connected to ground (). The other end of the push-button switch is connected to a pull-up resistor to, and then connected to the GCLR dedicated input pin of the ATF15xx. It is intended to be used as an active-low reset signal to reset the registers in the ATF15xx with the JPGCLR selectable jumper set. Similarly, one end of the SW- GOE1 input push-button switch is connected to ground (). The other end of the push-button switch is connected to a pull-up resistor to, and then connected to the OE1 dedicated input pin of the ATF15xx. It is intended to be used as an active-low output enable signal to control the enabling/disabling of the tri-state output buffers in the ATF15xx with the JPGOE selectable jumper set. Figure 2-5 on page 2-9 is the circuit diagram of these two push-button switches and the jumpers for the GCLR and OE1 pins. If any of these push-button switches is pressed and the corresponding jumper is set, then the specific of the CPLD will be driven to a logic low state. Since each pushbutton is also connected to a pull-up resistor, the corresponding CPLD input will have a logic high state if the push-button switch is not pressed with the corresponding selectable jumper set. If the selectable jumper is not set, the corresponding dedicated input pin of the CPLD can be considered a no connect (NC) pin. Table 2-13 on page 2-9 2-8 ATF15xx-DK3 Development Kit User Guide

Hardware Description shows the pin numbers of the GCLR and OE1 dedicated input pins of the ATF15xx in all the different available package types. Figure 2-5. Circuit Diagram of Push-button Switches and Selectable Jumpers for GCLR and OE1 Table 2-13. Pin Numbers of GCLR and OE1 44-pin TQFP 44-pin PLCC 84-pin PLCC 100-pin TQFP GCLR 39 1 1 89 OE1 38 44 84 88 2.1.5 2 MHz Oscillator and Clock Selection Jumper The Clock Selection Jumper, labeled JP-GCLK, on the CPLD Development/Programmer Board is a two-position jumper that allows the users to select which GCLK dedicated input pin (either GCLK1 or GCLK2) of the ATF15xx should be connected to the output of the 2 MHz oscillator. In addition, the jumper can be removed to allow an external clock source to be connected to GCLK1 and/or GCLK2 of the ATF15xx. Figure 2-6 is the circuit diagram of the oscillator and selection jumper. Table 2-14 on page 2-10 shows the pin numbers for the GCLK1 and GCLK2 dedicated input pins of the ATF15xx in all the different available package types. Note: If GCLK1 jumper is set, the jumper will be located toward the side of the board. On the other hand, if GCLK2 jumper is set, the jumper will be located toward the middle of the board. Figure 2-6. Circuit Diagram of Oscillator and Clock Selection Jumper ATF15xx-DK3 Development Kit User Guide 2-9

Hardware Description 2.1.6 and VCCINT Voltage Selection Jumpers and LEDs 2.1.7 ICCIO and ICCINT Jumpers The and VCCINT Voltage Selection Jumpers, labeled Selector and VCCINT Selector respectively on ATF15xx-DK3 Development/Programming Board, allow the designers to select supply voltage level () and core supply voltage level (VCCINT) that are used for the target CPLD on the board. Once these jumpers are set correctly, the LEDs (labeled VCCINT LED and LED) will be turn on as expected. However, at lower supply voltage levels (i.e. 2.5V or lower), the LEDs might be very dim. For ATF15xxAS/ASL (5.0V) CPLDs, both the Selector and VCCINT Selector jumpers MUST BE set to 5.0V. For ATF15xxASV/ASVL (3.3V) CPLDs, both the Selector and VCCINT Selector Jumpers MUST BE set to 3.3V only. For the ATF15xxBE (1.8V) CPLDs, designers MUST SET VCCINT Selector jumper to 1.8V for its core voltage supply. However, designers can set the Selector jumper to 3.3V, 2.5V, or 1.8V (but not 5.0V) in order for the s of the ATF15xxBE CPLD to interface with different voltage levels devices. Note: The power of the CPLD Development/Programmer Board MUST BE turned OFF when changing the position of the or VCCINT voltage selection jumper ( Selector or VCCINT Selector). The IccIO and IccINT jumpers can be removed and used as Icc measurement points. When the jumpers are removed, current meters can be connected to the posts to measure the current consumption of the target CPLD. When users are not using these jumpers to measure the current, these jumpers must be set in order for the board and CPLD to operate. 2.1.8 Voltage Regulators Two voltage regulators, labeled VR1 and VR2, are used to independently generate and regulate the VCCINT and voltages from the 9V DC power supply. For details, please review the schematic of the ATF15xx-DK3 board. 2.1.9 Power Supply Switch and Power LED 2.1.10 Power Supply Jack and Power Supply Header Table 2-14. Pin Numbers of GCLK1 and GCLK2 44-pin TQFP 44-pin PLCC 84-pin PLCC 100-pin TQFP GCLK1 37 43 83 87 GCLK2 40 2 2 90 The Power Supply Switch, labeled POWER SWITCH, can be switched to the ON or OFF position, which is used to turn on or off the power of the ATF15xx-DK3 board respectively. It allows the 9V DC voltage at the Power Supply Jack to pass to the voltage regulators when it is in the ON position. When the Power Supply Switch is turned ON, the Power LED (labeled POWER LED) will light up to indicate that the ATF15xx- DK3 board is supplied with power. The Atmel ATF15xx-DK3 Development/Programmer Board contains two different types of power supply connectors labeled JPower and JP Power. Either one of these power supply connectors can be used to connect a 9V DC power source to the board. The first power connector, labeled JPower, is a barrel power jack with a 2.1mm diameter post and it mates to a 2.1mm (inner diameter) x 5.5mm (outer diameter) female plug. The second is the power supply header, labeled JP Power, is a 4-pin male 0.1" header with 0.025" square posts. The availability of these two types of power connectors allows the users to choose the type of power supply equipment to use for ATF15xx-DK3 Development/Programmer Board. However, please note that only one of these two power supply connectors should be powered with a 9V DC source but not both at the same time. 2-10 ATF15xx-DK3 Development Kit User Guide

Hardware Description 2.1.11 JTAG ISP Connector and TDO Selection Jumper The JTAG ISP Connector, labeled JTAG-IN, is used to connect the ATF15xx s JTAG port pins (TCK, TDI, TMS and TDO) through the ISP download cable to the parallel printer (LPT) port of a PC for JTAG ISP programming of the ATF15xx. Polarized connectors are used on the ATF15xx-DK3 and ISP Download Cable (ATDH1150VPC) Rev 6.0 or later to minimize connection problems. The PIN1 label at the bottom of the JTAG ISP connector indicates the pin 1 position of the 10-pin header and further reduces the chance of connecting the ISP Download Cable incorrectly. To the left of the JTAG-IN connector, there are two columns of vias and they are labeled JTAG-OUT. They are intended to allow the users to create a JTAG daisy chain to perform JTAG operations to multiple devices. Users will need to solder the same type of connector as the one used for JTAG-IN into the JTAG-OUT position in order to utilize this available feature. To create a JTAG daisy chain using multiple ATF15xx-DK3 boards, the TDO Selection Jumper, labeled JP-TDO, must be set to the appropriate position. For all the devices in the daisy chain except the last device, this jumper must be set to the TO NEXT DEVICE position. For the last device in the chain, this jumper must be set to the TO ISP CABLE position. When this jumper is in the TO NEXT DEVICE position, the TDO of that particular JTAG device will be connected to the TDI of the next JTAG device in the chain. When this jumper is in the TO ISP CABLE position, the TDO of that device will be connected to the TDO of the JTAG 10-pin connector, which will allow the TDO signal of the that device in the chain to be transmitted back to the host PC with the ISP software. Figure 2-7 below is a circuit diagram of the JTAG connectors and the JP-TDO jumper. Table 2-15 on page 2-12 lists the pin numbers of the four JTAG pins for the ATF15xx in all the available packages. For a single device setup, the position of the JP-TDO jumper must be set to TO ISP CABLE. Figure 2-7. Circuit Diagram of the JTAG ISP Connectors and TDO Jumper ATF15xx-DK3 Development Kit User Guide 2-11

Hardware Description Table 2-15. Pin Numbers of JTAG Port Signals 44-pin TQFP 44-pin PLCC 84-pin PLCC The ISP algorithm is controlled by the ATMISP software, which is running on the PC. The four JTAG signals are generated by the LPT port and they are buffered by the ISP download cable before going into the ATF15xx on the CPLD Development/Programmer Board. The pinout for the 10-pin JTAG Port Header on the CPLD Development/Programmer Board is shown in Figure 2-8 and the dimensions of this 10-pin male JTAG header are shown in Figure 2-9. Figure 2-8. Pinout Diagram of 10-pin JTAG Port Header (Top-view) 100-pin TQFP TDI 1 7 14 4 TDO 32 38 71 73 TMS 7 13 23 15 TCK 26 32 62 62 NC NC VCC 10 9 8 7 6 5 4 3 2 1 TDI NC TMS TDO TCK Figure 2-9. 10-pin Male Header Dimensions Top View 0.100 Side View 0.100 0.025 Sq. 0.235 All dimensions are in inches The pinout of this 10-pin JTAG Port Header is compatible with the Altera ByteBlaster, ByteBlasterMV, and ByteBlaster II cables. In addition, the ATMISP software allows users to choose either the Atmel CPLD ISP Cable or the ByteBlaster/ByteBlasterMV/ByteBlaster II cable to implement ISP. 2.2 Socket Adapter Board Atmel ATF15xx-DK3 CPLD Development/Programmer Socket Adapter Boards (ATF15xx-DK3-XXXXX) are circuit boards that interface with the Atmel ATF15xx-DK3 CPLD Development/Programmer Board. They are used in conjunction with the ATF15xx-DK3 CPLD Development/Programmer Board to evaluate/program Atmel ATF15xx ISP CPLDs with different package types. At press time, there are four Socket Adapter Boards available for the ATF15xx-DK3 covering the 44-TQFP, 44-PLCC, 84-2-12 ATF15xx-DK3 Development Kit User Guide

Hardware Description PLCC, and 100-TQFP package types in the ATF15xx family of CPLDs. Socket Adapter boards for other packages will become available in the near future. Each socket adapter board contains a socket for the Atmel ATF15xx device and with male headers on the bottom side, labeled JP1 and JP2. The headers on the bottom side mate with the female headers on the ATF15xx-DK3 board, labeled JP4 and JP3. The four 7-segment displays, push-button switches, JTAG port signals, oscillator, VCCINT,, and on the CPLD Development/Programmer Board are connected to the ATF15xx device on the Socket Adapter Board through these two sets of connectors. On the top of the 44-TQFP socket adapter, there are four 10-pin connectors with the same dimensions as the JTAG ISP connector. The pins of these four connectors are connected to the input and pins (except the four JTAG pins) of the target CPLD device. They can be used to connect to an oscilloscope or logic analyzer to capture the activities of the input and pins of the CPLD. They also can be used to connect the input and pins of the CPLD to other external boards or devices for system level evaluation or testing. 2.3 Atmel CPLD ISP Download Cable The Atmel CPLD ISP Download Cable (P/N: ATDH1150VPC) connects the parallel printer (LPT) port of your PC to the 10-pin JTAG header on the Atmel CPLD Development/Programmer Board or a custom circuit board. This is shown in Figure 2-10 on page 2-14. This ISP cable acts as a buffer to buffer the JTAG signals between the PC s LPT port and the ATF15xx on the circuit board. The Power-On LED on the back of the 25-pin male connector housing indicates that the cable is connected properly. Make sure this LED is turned on before using the Atmel CPLD ISP Software (ATMISP). This ISP cable consists of a 25-pin (DB25) male connector, which is connected to the LPT port of a PC. The 10-pin female plug connects to the 10-pin male JTAG header on the ISP circuit board. The red color stripe on the ribbon cable indicates the orientation of Pin 1 of the female plug. The 10-pin male JTAG header on the CPLD Development/Programmer Board is polarized to prevent users from inserting the female plug in the wrong orientation. The Atmel CPLD Development/Programmer kits includes an Atmel ISP cable; however, other supported ISP cables can also be used. The use of the ISP cable on Atmel development kit is depending on the device that is selected. The following shows the appropriate ISP cable that can be used for the different voltage families of Atmel CPLDs. 1. Atmel-ISP Cable (Rev 4.0 or earlier) can be used for ATF15xxAS/ASL (5.0V) device only. 2. Atmel-ISP Cable (Rev 5.0) can be used for ATF15xxAS/ASL (5.0V) or ATF15xxASV/ASVL (3.3V) device only. 3. Atmel-ISP Cable (Rev 6.0), also known as the Atmel CPLD-ISP MV Cable, can be used for ATF15xxAS/ASL (5.0V) or ATF15xxASV/ASVL (3.3V) or ATF15xxBE (1.8V core) device. 4. ByteBlaster ISP Cable can be used for ATF15xxAS/ASL (5.0V) device only. 5. ByteBlasterMV ISP Cable can be used for ATF15xxAS/ASL (5.0V) or ATF15xxASV/ASVL (3.3V) device only. 6. ByteBlaster II ISP Cable can be used for ATF15xxAS/ASL (5.0V) or ATF15xxASV/ASVL (3.3V) or ATF15xxBE (1.8V core) device. ATF15xx-DK3 Development Kit User Guide 2-13

Pin 1 Hardware Description Figure 2-10. Atmel ISP Cable Connection to ISP Hardware Board/Circuit Board ISP DOWNLOAD CABLE Color Stripe LED Figure 2-11 shows the pinout for the 10-pin female header on the Atmel ISP cable. The pinout on the 10-pin male header on the PC board (if used for ISP) must match this pinout. Figure 2-11. Atmel ISP Download Cable 10-pin Female Header Pinout Color Stripe 1 3 5 7 9 2 4 6 8 10 Note: Your circuit board must supply Vcc and to the Atmel CPLD ISP Cable through the 10-pin male header. When programming ATF15xxBE device, must be used for the ISP Cable. 2-14 ATF15xx-DK3 Development Kit User Guide

Section 3 CPLD Design Flow Tutorial This tutorial will guide you through a complete VHDL design cycle for the Atmel ATF15xx CPLD. It provides step-by-step procedure to go through each phase of the design cycle from design entry, logic synthesis, device fitting, in-system programming, and finally verifying the design on the Atmel ATF15xx-DK3 CPLD Development/Programming Board. Note: To complete this tutorial, ProChip Designer V4.0 with Level 2 Update and Atmel-ISP Software (ATMISP) V6.1 are required. 3.1 Create a Project using the New Project Wizard Before starting the design process, a Project File must be created within ProChip Designer. ProChip Designer s New Project Wizard provides a very easy way to create a new project file. ATF15xx-DK3 Development Kit User Guide 3-1

CPLD Design Flow Tutorial 1. Click on the Start > Programs > ProChip icon to launch ProChip Designer. Or double-click on the ProChip icon on the desktop. (1) Click to launch ProChip Designer 2. Click on Project > New or double-click on the New Project shortcut button to launch the New Project Wizard. (2) Click to create new project 3. Click on the Next button to start the project file creation process. (3) Click Next to start 4. Click on the Browse button to open the browser window. 3-2 ATF15xx-DK3 Development Kit User Guide

CPLD Design Flow Tutorial 5. Use C:\PROCHIP\DESIGNS\VHDL as the directory of the project. 6. Enter DEV_KIT.APJ as the project filename. The extension of a project file must be.apj. Note: The name and directory of the design project is specified in this window. All design, simulation, and other project files must be placed in this project directory. (4) Click to Browse (5) Select the project directory (6) Enter the project filename 7. Choose ATF1502BE-7AU44 as the target device type for the project. Also review the filters that allow for selection of a specific speed grade or package type. (7) Select the device type ATF15xx-DK3 Development Kit User Guide 3-3

CPLD Design Flow Tutorial 8. Select VHDL - Mentor Graphics as the software tool for this design flow. (8) Select the design flow ProChip Designer V4.0 with software patch level 1 and later version supports the following design flows: Design Flow CUPL Altium Verilog Mentor Graphics VHDL Altium VHDL Mentor Graphics Schematic Altium Design Flow Type CUPL design compiled through Altium Protel 99SE Verilog design synthesized through Mentor Graphics Precision VHDL design synthesized through the Altium PeakFPGA VHDL design synthesized through Mentor Graphics Precision Schematic design compiled through Altium Protel 99SE 9. Select Done with parts so that there will be only one device in this project. 3-4 ATF15xx-DK3 Development Kit User Guide

CPLD Design Flow Tutorial On the other hand, users can select Add more parts to include more parts to the current project directory. (9) Select Done with parts 10. Click the Finish button to finish the New Project Wizard and the project creation process. This closes the New Project Wizard and opens the ProChip Designer window. The sources in the project are shown in the left window. (10) Select Finish to end the New Project Wizard ATF15xx-DK3 Development Kit User Guide 3-5

CPLD Design Flow Tutorial 11. Click on the ATF1502BE-7AU44 device icon to view the Design Flow window. Project Sources window Information dialog box Message window (11) Click on the device icon Project File window Design Flow window 3-6 ATF15xx-DK3 Development Kit User Guide

CPLD Design Flow Tutorial 3.2 Add a Design File Once the project file is created, the next step is to add the design source file(s) into your project. For this tutorial, a single VHDL design file will be added into the project. 1. Click on the Add/Edit button from Source Manager to open the Source Manager window. You can view the Source Manager help file by clicking on the Help button within the Source Manager window to view the description for the different processes. 2. In the Source Manager window, click on the Add button to add a VHDL design file to the project. 3. In the File Manager window, select.vhd from the C:\PRO- CHIP\DESIGNS\VHDL directory as the source design file for this project. (1) Click Add/Edit to open Source Manager window (2) Select VHDL source file (3) Click Add to add design file This VHDL design is available at the end of this document. The F02_44TQFP.VHD file is a VHDL design that uses two 7-segment displays and the built-in oscillator on the Atmel ATF15xx-DK3 CPLD Development/Programmer Board to generate two scrolling 0 characters. This design will also pass the states of the push-button switches (SW1-SW4) to the LEDs at LED1-LED4 on the ATF15xx-DK3 CPLD Development/Programmer Board. For details, please review the VHDL code. 3.3 Synthesize the VHDL Design In this part of the tutorial, the VHDL design code will be synthesized through the Mentor Graphics Precision Synthesis process into an EDIF netlist (*.EDF), which contains a set of optimized/minimized logic equations for the specified CPLD. ATF15xx-DK3 Development Kit User Guide 3-7

CPLD Design Flow Tutorial 1. Click on the VHDL - Precision button in the Design Flow window to open the Logic Synthesis window. (1) Open the Logic Synthesis window 2. In the Logic Synthesis window, check both options to Update Pin Assignments after each Compilation and also Run Precision in shell mode: (2) Check both options here 3. Click on the Compile button to start the compile process. Close the log file when the synthesis is done successfully. Note: If you have encountered any syntax error during synthesis, the report file will pop up to indicate which line of the code contains problem. In such case, you must correct the syntax problem and save the file before synthesize the code again before proceeding to the next step. 3.4 Fit the Synthesized Design File In Section 3.3, the logic synthesis portion of the CPLD design flow was completed. On successful compilation, the Precision tool will produce an EDIF output file (with.edf extension). An EDIF file contains the netlist of the optimized and minimized logic equations. We now need to map this netlist into a specific Atmel CPLD architecture using the Atmel Fitter. 3-8 ATF15xx-DK3 Development Kit User Guide

CPLD Design Flow Tutorial 1. You can now proceed to the device fitter portion of the design flow by clicking on the Atmel Fitter button. (1) Open the Atmel Fitter window You can either use the default options or specify fitter properties. ProChip Designer will automatically select the EDIF file (*.EDF) associated to the current design project and the tool type. In this example, since our target device is an ATF1502BE, we will select the FIT1502.EXE device fitter. The fitter creates the important JEDEC and Fit Report output files. They contain the data for programming the device (using in-system programming or on a third-party device programmer) and the pin assignments required for board layout respectively. Please review the Global Device Parameters and Pin/Node Options as well. The help files also show the Device Pin_Node lists for each of the Atmel CPLDs. 2. Make sure the JTAG box is checked. This enables the JTAG port for ISP programming. 3. Make sure the Pin Fit Control setting is set to Keep. This will ensure that the pin assignments in the PLD file will be kept during the place-and-route process. 4. Make sure the Logic Double setting is set to if necessary. 5. When all the fitter options are set, click on the Run Fitter button to fit the design. (2) Check the JTAG box (3) Set the Pin Fit Control setting to Keep (5) Start the fitting process (4) Set Logic Double to if necessary ATF15xx-DK3 Development Kit User Guide 3-9

CPLD Design Flow Tutorial The above message will be displayed after the design is successfully fit the selected device. If there are any error messages, you can review the exported *.FIT file or you can copy your *.EDF file to the C:\PROCHIP\PLDFIT\ directory, open the DOS command prompt, and then type the fit command that is starting from the second line of the *.FIT file to see more details about the fitter errors. Parts of the fitter report (.FIT) file generated for this design is shown below. Total dedicated input used: 3/4 (75%) Total pins used 24/32 (75%) Total Macro cells used 35/32 (109%) Total Flip-Flop used 28/32 (87%) Total Foldback logic used 15/32 (46%) Total Nodes+FB/MCells 50/32 (156%) Total cascade used 0 Total input pins 10 Total output pins 17 Total Pts 93 Creating pla file c:\prochip\designs\vhdl\f02_44tqfp.tt3 with 0 inputs 0 outputs, 0 pins 0 nodes and 0 pterms... ---------------- End fitter, Design FITS $Device TQFP44 fits FIT1502 completed in 0.00 seconds 3.5 Program and Verify Design In this step of the tutorial, you will program an ATF1502BE 44-pin TQFP device on the Atmel ATF15xx-DK3 CPLD Development/Programmer Board through ISP. Then you will be able to verify the design by observing the four 7-segment displays and four LEDs on the CPLD Development/Programmer Board. You will need to follow the steps below to setup the ATMISP software (V6.0 or latest version) in order to program the ATF1502BE 44-pin TQFP on the ATF15xx-DK3 CPLD Development/Programmer Board. 3-10 ATF15xx-DK3 Development Kit User Guide

CPLD Design Flow Tutorial 1. To create a new chain file, the ATMISP Software first needs to be launched either through the Program Chip button in the ProChip Designer window, the ATMISP desktop icon or the Start > Programs > Atmel-ISP menu. (1) Launch ATMISP Note: If ATMISP is launched through ProChip Designer, then the appropriate chain (.CHN) file will be automatically created by ProChip Designer. Therefore, steps 2 through 6 can be skipped. 2. To create a new chain file, select the New command under the File menu or click on the New shortcut button. (2) Create new chain file 3. The first piece of information that the software asks for when creating a new chain is the number of devices in the JTAG chain. Therefore, enter 1 and then click OK since you will be programming a single-device JTAG chain. (3) Enter the number of devices 4. Next you will need to specify the properties of each JTAG device in the Device Properties window. First, you will need to select the target device type of the first device in the JTAG chain. For this tutorial, please select ATF1502BE as the target device type. 5. In the JTAG Instruction field, you can specify which JTAG instruction to be executed on this device in the chain. Please select Program/Verify to program and verify the ATF1502BE. 6. The next step is to specify the JEDEC file to be programmed into the target device in the JEDEC File field. Click on the Browse button, change the directory to..\prochip\designs\vhdl and then select F02_44TQFP.JED as the ATF15xx-DK3 Development Kit User Guide 3-11

CPLD Design Flow Tutorial JEDEC file. Click OK to close the JTAG Device Properties window when all properties are specified. (4) Specify target device type (5) Specify JTAG instruction (6) Select JEDEC file The next step requires you to setup the Atmel ATF15xx-DK3 CPLD Development/Programmer Board to program the ATF1502BE-7AU44 through the CPLD ISP cable. 7. Connect the DB25 side of the Atmel CPLD ISP MV cable (Revision 6) to the PC s parallel port and the 10-pin header side of the cable to the Atmel ATF15xx-DK3 CPLD Development Board as shown Figure 2-10 on page 2-14. 8. Connect a 9V AC/DC power supply to the power connector (JPower) of the Atmel ATF15xx-DK3 CPLD Development/Programmer Board. 9. Set the Selector jumper to the 1.8V(BE) position for supplying the core voltage of the ATF1502BE device at 1.8V, then set the VCCINT Selector jumper to the 1.8V(BE) position for supplying the pad voltage of the ATF1502BE device at 1.8V. Note: Make sure the ICCINT and ICCIO jumpers are in their default positions. These two jumpers are only removed when you are connecting them from two poles of the digital multimeter to perform current measurement. 10. Set the JPCLK jumper to GCLK1 so that the output of the crystal oscillator will go to pin 37 (GCLK1) of the ATF1502BE. For this design, you can also set the JPCLK jumper to GCLK2 so that the output of the crystal oscillator will go to pin 40 (GCLK2) of the ATF1502BE for selecting another global clock source. 11. Set the JPJTAG Jumper ISP Cable position, which is toward the middle of the board. 12. Connect the 44-pin TQFP Socket Adapter Board onto the main development/programmer board. Note: If a device in a different package type is to be programmed, then the appropriate Socket Adapter Board must be used. 13. Select which LPT port is being used for Atmel CPLD ISP cable in the Port Setting field. LPT1 is the default port and it represents address 0x378. 14. Select the ISP download cable type in the Cable Type field. The default cable type is the Atmel CPLD-ISP MV, which represents the Atmel CPLD ISP Cable Rev 6.0, but it can be changed to other cables that can be used for other devices. Note: The Atmel CPLD-ISP cable type represents the Atmel CPLD ISP Cable Rev 5.0 or older. 15. Switch the power switch to the ON position. 3-12 ATF15xx-DK3 Development Kit User Guide

CPLD Design Flow Tutorial Now both your software and hardware are setup for ISP programming and you can execute the Program/Verify instruction to program the ATF1502BE on the Atmel ATF15xx- DK3 CPLD Development/Programmer Board. 16. Click on the Run button in the ATMISP main window to execute the JTAG instruction to program the ATF1502BE on ATF15xx-DK3 CPLD Development/Programmer Board. (13) Select the LPT port number (14) Select the cable type (16) Click on the Run button If you do not see above message after programming of the device, please review the troubleshooting guide and FAQs from the Atmel-ISP software to debug the problem. After successfully programming the ATF1502BE with the F02_144TQFP.JED file, the first and fourth 7-segment LED displays should show two rotating 0 characters. In addition, with the setting of the LED jumpers (JPL1, JPL2, JPL3, and JPL4) and pushbutton jumpers (JPS8, JPS7, JPS6, and JPS5), you can press SW8, SW7, SW6, or SW5 to light up LEDs 1-4. If the result is displayed correctly on the ATF15xx-DK3 CPLD Development/Programmer Board, then you have successfully completed this tutorial. ATF15xx-DK3 Development Kit User Guide 3-13

Section 4 Schematic Diagrams and VHDL File ATF15xx-DK3 Development Kit User Guide 4-1

f e a g d b c 3 f e a g d b c 2 f e a g d b c f e a g d b c Schematic Diagrams and VHDL File Figure 4-1. ATF15xx-D3 Development/Programmer Board Schematic Diagram 3 2 1 VccIO DSP4 DSP3 DSP2 DSP1 DOT Vc2 Vc1 DOT Vc2 Vc1 DOT Vc2 Vc1 DOT Vc2 Vc1 g f e d c b a g f e d c b a g f e d c b a g f e d c b a RDSP47 RDSP45 RDSP43 RDSP41 RDSP37 RDSP35 RDSP33 RDSP31 RDSP27 RDSP25 RDSP23 RDSP21 RDSP17 RDSP15 RDSP13 RDSP11 RDSP46 RDSP44 RDSP42 RDSP36 RDSP34 RDSP32 RDSP26 RDSP24 RDSP22 VR1 LM317 VccIO JP1 Vin +Vout IccIO R2 500 ADJ 1 GCLR RL7 220 LED7 GREEN JPL7 SIP2 RL8 220 LED8 GREEN JPL8 SIP2 JTAGIN JTAGOUT VccIO JPJTAG R11 4.7K TCK R12 4.7K TMS TDI R13 4.7K TDO R14 10K VccIO 3 2 3 2 1 LED8 LED7 RL6 220 RL5 220 RL4 220 RL3 220 RL2 220 RL1 220 LED6 GREEN LED5 GREEN LED4 GREEN LED3 GREEN LED2 GREEN LED1 GREEN JPL6 SIP2 JPL5 SIP2 JPL4 SIP2 JPL3 SIP2 JPL2 SIP2 JPL1 SIP2 LED6 LED5 LED4 LED3 LED2 LED1 R19 R21 1K 1K SW2 SW3 JPS1 R29 SW1 JPS2 R31 SW2 SIP2 4.7K SIP2 4.7K C15 0.001uF C13 0.001uF R20 R22 1K 1K SW6 SW7 JPS5 R30 SW5 JPS6 R32 SW6 SIP2 4.7K SIP2 4.7K VR2 LM317 VccINT JP2 Vin +Vout IccINT C6 10uF C5 R7 500 ADJ 1 SW1 SW5 VCCIN R23 1K JPS3 SIP2 C17 0.001uF R24 1K JPS7 SIP2 C18 0.001uF R33 4.7K D2 R3 220 POWER SWITCH C2 C1 100uF MARK BIGATMEL ATMEL D1 1N4001 R34 4.7K SW3 R8 220 SW7 R9 600 SW4 JPINT18 1.8V(BE) R10 680 JPGCLK JPINT33 3.3V(ASV) GCLK2 GCLK1 R18 1K R17 1K JPINT50 5.0(AS) OSC VccIO 1 4 2 3 2MHZ C16 0.001uF C14 0.001uF SW8 D3 R27 1K R39 100 R25 1K JPS4 SIP2 C19 0.001uF R26 1K JPS8 SIP2 C20 0.001uF R35 C21 4.7K R36 4.7K SW4 SW8 DOT4 DOT3 DOT2 DOT1 RDOT2 RDOT3 RDOT4 RDOT1 JPDSP4 JPLED4 JPDSP3 JPLED3 JPDSP2 JPLED2 JPDSP1 JPLED1 D4G D4F D4E D4D D4C D4B D4A D3G D3F D3E D3D D3C D3B D3A D2G D2F D2E D2D D2C D2B D2A D1G RDSP16 D1F D1E RDSP14 D1D D1C RDSP12 D1B D1A VccIN C4 10uF C3 D4 R1 1K R28 1K R4 280 JPIO18 1.8V(BE) R5 320 JPIO25 2.5V(BE) R6 JPIO33 680 3.3V(ASV/BE) GOE R38 2.2K VccINT VccIO JPIO50 5V(AS) C11 C12 C10 C9 C8 0.001uF JP3 VCCINT D1B DOT1 D1C LED1 D1A LED2 D1F 11 12 LED3 D1D 13 14 TDO D1G 15 16 LED4 D1E 17 18 19 20 TCK 22 D2B 23 24 D2A 25 26 DOT2 D2C 27 28 SW1 D2F 29 30 SW2 D2D 31 32 SW3 D2G 33 34 SW4 D2E 35 36 37 38 39 40 JP4 VCCINT GOE D4G GCLR D4E GCLK2 D4F GCLK1 D4D SW8 11 12 TDI SW7 13 14 D4A SW6 15 16 D4C SW5 17 18 19 20 D4B DOT4 22 TMS 23 24 D3G LED8 25 26 D3E LED7 27 28 D3F LED6 29 30 D3D LED5 31 32 D3A 33 34 D3C DOT3 35 36 D3B 37 38 39 40 JP JP Power 4 3 2 1 9V DC Center Positive JPower 9VDC 500mA VccIO R16 1K R15 1K JPGCLR JPGOE R37 2.2K C7 0.001uF SW-GOE SW-GOE SW-GCLR SW-GCLR 4-2 ATF15xx-DK3 Development Kit User Guide

Schematic Diagrams and VHDL File Figure 4-2. 44-pin TQFP Socket Adapter Board Schematic Diagram VCCINT JP1 VCCINT PIN38 GOE D4G PIN34 PIN39 GCLR D4E PIN35 PIN40 GCLK2 D4F PIN42 PIN37 GCLK1 11 12 D4D PIN43 PIN44 SW8 13 14 TDI PIN2 SW7 15 16 D4A PIN3 PIN5 SW6 17 18 D4C PIN6 PIN8 SW5 19 20 D4B PIN10 PIN11 DOT4 22 TMS 23 24 D3G LED8 25 26 D3E LED7 27 28 D3F LED6 29 30 D3D LED5 31 32 D3A 33 34 D3C DOT3 35 36 D3B 37 38 39 40 JL PIN2 PIN3 PIN5 PIN6 PIN8 PIN10 PIN11 TDI TMS PIN8 VCCINT JT PIN34 PIN35 PIN37 PIN38 PIN39 PIN40 PIN42 PIN43 PIN44 c1 c2 c3 c4 PIN33 TDO PIN31 PIN30 PIN28 PIN27 TCK PIN25 PIN23 VCCINT D1B DOT1 D1C LED1 D1A LED2 D1F LED3 D1D TDO D1G LED4 D1E TCK D2B D2A JP2 11 12 13 14 15 16 17 18 19 20 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 DOT2 D2C SW1 D2F SW2 D2D SW3 D2G SW4 D2E JR PIN34 PIN35 PIN37 PIN38 PIN39 U1 33 32 31 30 29 28 27 26 25 24 23 TDO VCC TCK 34 35 GCLK3 36 GCLK1 37 OE1 38 39 GCLR E2/GCLK2 PIN40 40 VCC VCCINT41 PIN42 42 PIN43 PIN44 44 ATMEL TQFP44 TDI TMS VCC 1 2 3 4 5 6 7 8 9 10 11 TQFP44 22 21 20 19 18 VCC 17 16 15 14 13 12 PIN22 PIN21 PIN20 PIN19 PIN18 PIN15 PIN14 PIN13 PIN12 JB PIN12 PIN13 PIN14 PIN15 PIN18 PIN19 PIN20 PIN21 PIN22 PIN2 PIN3 PIN5 PIN6 PIN10 PIN11 PIN33 PIN30 PIN27 PIN23 PIN21 PIN20 PIN18 PIN33 PIN30 PIN27 PIN23 MARK BIGATMEL ATMEL PIN31 PIN28 PIN25 PIN31 PIN28 PIN25 PIN22 PIN19 PIN15 PIN14 PIN13 PIN12 ATF15xx-DK3 Development Kit User Guide 4-3

Schematic Diagrams and VHDL File Figure 4-3. 44-pin PLCC Socket Adapter Board Schematic Diagram JT PIN40 PIN41 PIN43 PIN44 PIN1 PIN2 PIN4 PIN5 PIN6 U1 PIN4040 GCLK3 PIN4141 42 GCLK1 PIN4343 PIN4444 OE1 GCLR PIN1 1 PIN2 2 E2/GCLK2 VCCINT VCC 3 PIN4 4 PIN5 5 PIN6 6 39 38 37 36 35 34 33 32 31 30 29 TDO VCC TCK ATMEL PLCC44 TDI TMS VCC PLCC44 28 27 26 25 24 VCC 23 22 21 20 19 18 10 11 12 13 14 15 16 17 7 8 9 PIN28 PIN27 PIN26 PIN25 PIN24 VCCINT PIN21 PIN20 PIN19 PIN18 JP1 VCCINT PIN44 GOE D4G PIN40 PIN1 GCLR D4E PIN41 PIN2 GCLK2 D4F PIN4 PIN43 GCLK1 11 12 D4D PIN5 PIN6 SW8 13 14 TDI PIN8 SW7 15 16 D4A PIN9 PIN11 SW6 17 18 D4C PIN12 PIN14 SW5 19 20 D4B PIN16 PIN17 DOT4 22 TMS 23 24 D3G LED8 25 26 D3E LED7 27 28 D3F LED6 29 30 D3D LED5 31 32 D3A 33 34 D3C DOT3 35 36 D3B 37 38 39 40 JL PIN8 PIN9 PIN11 PIN12 PIN14 PIN16 PIN17 TDI PIN8 PIN9 PIN11 PIN12 TMS PIN14 PIN16 PIN17 JB PIN18 PIN19 PIN20 PIN21 PIN24 PIN25 PIN26 PIN27 PIN28 VCCINT PIN39 c1 c2 c3 c4 PIN37 TDO PIN36 PIN34 PIN33 PIN31 TCK PIN29 PIN39 PIN36 PIN33 PIN29 PIN27 PIN26 PIN24 VCCINT D1B DOT1 D1C LED1 D1A LED2 D1F LED3 D1D TDO D1G LED4 D1E TCK D2B D2A JP2 11 12 13 14 15 16 17 18 19 20 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 DOT2 D2C SW1 D2F SW2 D2D SW3 D2G SW4 D2E JR PIN29 PIN31 PIN33 PIN34 PIN36 PIN37 PIN39 PIN37 PIN34 PIN31 PIN28 PIN25 PIN21 PIN20 PIN19 PIN18 4-4 ATF15xx-DK3 Development Kit User Guide

Schematic Diagrams and VHDL File Figure 4-4. 84-pin PLCC Socket Adapter Board Schematic Diagram PIN73 PIN69 PIN67 PIN64 JP3 JP2 PIN11 PIN10 VCCINT PIN9 JP1 PIN8 PIN6 VCCINT PIN5 PIN74 D1B PIN4 DOT1 PIN2 PIN70 D1C PIN1 LED1 PIN84 GOE D4G PIN75 PIN84 PIN68 D1A GCLR PIN83 11 12 11 12 LED2 PIN1 D4E PIN76 PIN81 PIN65 D1F GCLK2 PIN80 13 14 13 14 LED3 PIN2 D4F PIN77 PIN79 PIN63 D1D TDO GCLK1 D4D PIN77 15 16 15 16 PIN83 11 12 PIN79 PIN76 PIN61 D1G LED4 SW8 TDI PIN75 17 18 17 18 PIN80 13 14 PIN58 D1E 19 20 PIN4 SW7 15 16 D4A PIN5 22 PIN6 SW6 17 18 D4C PIN8 JPTOP TCK 23 24 PIN9 SW5 19 20 D4B PIN10 PIN57 D2B 25 26 PIN11 DOT4 22 TMS PIN51 PIN52 D2A 27 28 DOT2 23 24 D3G PIN12 PIN49 PIN55 D2C 29 30 SW1 PIN15 LED8 25 26 D3E PIN16 PIN46 PIN50 D2F 31 32 SW2 PIN18 LED7 27 28 D3F PIN17 MARK1 PIN44 PIN48 D2D 33 34 SW3 PIN24 LED6 29 30 D3D PIN21 SMALLATMEL PIN40 PIN45 D2G 35 36 SW4 PIN27 LED5 31 32 D3A PIN22 PIN37 PIN41 D2E 33 34 37 38 D3C PIN25 39 40 PIN29 DOT3 35 36 D3B PIN28 37 38 39 40 IDC40 IDC40 U1 PIN60 PIN56 PIN54 PIN51 PIN49 PIN44 PIN50 PIN48 PIN45 PIN41 PIN39 PIN75 PIN76 PIN77 PIN79 PIN80 PIN81 PIN83 PIN84 PIN1 PIN2 VCCINT PIN4 PIN5 PIN6 PIN8 PIN9 PIN10 PIN11 84 1 2 3 4 5 6 7 8 9 10 11 PIN74 PIN73 TDO 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 / TDO VCC_IO / TCK 75 76 77 78 VCC_IO 79 80 81 82 83 INPUT/GCLK1 INPUT/OE1 PIN70 PIN69 PIN68 PIN67 INPUT/GCLRn INPUT/OE2/GCLK2 VCC_INT JP5 PIN73 PIN74 PIN69 PIN70 PIN67 PIN68 PIN64 PIN65 PIN61 PIN63 PIN58 11 12 PIN60 PIN57 13 14 PIN56 PIN55 15 16 PIN54 17 18 ATMEL PIN65 PIN64 PIN63 ATF1508AS-15JC84 TCK PIN61 PIN60 PIN58 PIN57 PIN56 PIN55 PIN54 VCC_IO / TDI / TMS VCC_IO 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 PIN12 TDI PIN15 PIN16 PIN17 PIN18 PIN20 PIN21 PIN22 TMS PIN24 PIN25 PIN27 PIN28 PIN29 PIN30 PIN31 JP4 PIN12 PIN20 PIN15 PIN16 PIN18 PIN17 PIN24 PIN21 PIN27 11 12 PIN22 PIN25 13 14 PIN28 PIN29 15 16 PIN30 PIN31 17 18 JPLEFT JPBOTTOM VCC_IO 53 52 51 50 49 48 47 46 45 44 VCC_INT 43 42 41 40 39 VCC_IO 38 37 36 35 34 33 C8 C7 C5 C3 C2 C1 VCCINT PIN52 PIN51 PIN50 PIN49 PIN48 PIN46 PIN45 PIN44 VCCINT PIN41 PIN40 PIN39 PIN37 PIN36 PIN35 PIN34 PIN33 C6 C4 JP6 PIN33 PIN34 PIN35 PIN36 PIN37 PIN39 PIN40 PIN41 PIN44 PIN45 PIN46 PIN48 11 12 PIN49 13 14 PIN50 PIN51 15 16 PIN52 17 18 JPBOTTOM ATF15xx-DK3 Development Kit User Guide 4-5