Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Similar documents
VLSI System Testing. BIST Motivation

VLSI Test Technology and Reliability (ET4076)

ECE 715 System on Chip Design and Test. Lecture 22

Testing Digital Systems II

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Overview: Logic BIST

Design of Fault Coverage Test Pattern Generator Using LFSR

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Design of BIST Enabled UART with MISR

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

ISSN (c) MIT Publications

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Lecture 23 Design for Testability (DFT): Full-Scan

Testing Digital Systems II

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design for Testability

Lecture 18 Design For Test (DFT)

This Chapter describes the concepts of scan based testing, issues in testing, need

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

Design for Testability Part II

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Diagnosis of Resistive open Fault using Scan Based Techniques

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Design of BIST with Low Power Test Pattern Generator

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

SIC Vector Generation Using Test per Clock and Test per Scan

I. INTRODUCTION. S Ramkumar. D Punitha

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Sharif University of Technology. SoC: Introduction

CSE 352 Laboratory Assignment 3

DESIGN FOR TESTABILITY

Scan. This is a sample of the first 15 pages of the Scan chapter.

E-Learning Tools for Teaching Self-Test of Digital Electronics

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Testing of Cryptographic Hardware

LFSR Counter Implementation in CMOS VLSI

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Chapter 8 Design for Testability

Testing Sequential Circuits

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Unit V Design for Testability

Nodari S. Sitchinava

Weighted Random and Transition Density Patterns For Scan-BIST

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

ISSN:

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Power Problems in VLSI Circuit Testing

Testing Digital Systems II

K.T. Tim Cheng 07_dft, v Testability

Implementation of UART with BIST Technique

Digital Integrated Circuits Lecture 19: Design for Testability

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

Based on slides/material by. Topic Testing. Logic Verification. Testing

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

Individual Project Report

Doctor of Philosophy

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Enhanced JTAG to test interconnects in a SoC

Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl. Chapter 2 Stream Ciphers ver.

Fault Detection And Correction Using MLD For Memory Applications

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl. Chapter 2 Stream Ciphers ver.

Design for test methods to reduce test set size

Computer Architecture and Organization

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Transactions Brief. Circular BIST With State Skipping

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Transcription:

Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In Self-Test Internal test generation and response evaluation Self-Checking Circuits Error detection and correction codes

Increasing Test Costs Increasing chip logic-to-pin ratio harder observability Increasingly dense devices and faster clocks Increasing test generation and application times Increasing size of test vectors stored in ATE Hard testability insertion designers unfamiliar with gate-level logic, since they design at behavioral level In-circuit testing no longer technically feasible Circuit testing cannot be easily partitioned

Increasing Quality Requirements 98% single stuck-at fault coverage 100% interconnect fault coverage Reject ratio 1 in 100,000

Built-In Self-Test Motivation Requirements for the ATE: high frequencies accuracy memory noise immunity High Cost tester functions moved (integrated) into the chip or board.

Test control logic Define Built-In Self-Test Implement the function of automatic test equipment (ATE) on circuit under test (CUT). Hardware added to CUT: Stored Test Patterns Stored responses Pattern generation (PG) Response analysis (RA) Test controller Pin Electronics Test control HW/SW ATE Comparator hardware CUT CK BIST Enable Go/No-go signature PG CUT RA Lecture 11: BIST 5

BIST Benefits Faults tested: Single combinational / sequential stuck-at faults Delay faults Single stuck-at faults in BIST hardware BIST benefits Reduced testing and maintenance cost Lower test generation cost Reduced storage / maintenance of test patterns Simpler and less expensive ATE Can test many units in parallel Shorter test application times Can test at functional system speed

Additional BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment) Hardware BIST benefits: Lower system test effort Improved system maintenance and repair Improved component repair Better diagnosis

Economics BIST Costs Chip area overhead for: Test controller Hardware pattern generator Hardware response compacter Testing of BIST hardware Pin overhead -- At least 1 pin needed to activate BIST operation Performance overhead extra path delays due to BIST Yield loss due to increased chip area or more chips In system because of BIST Reliability reduction due to increased area Increased BIST hardware complexity happens when BIST hardware is made testable

BIST Process Test controller Hardware that activates self-test simultaneously on all PCBs Each board controller activates parallel chip BIST Diagnosis effective only if very high fault coverage

Diagnosis BIST must be implemented at all (or most) levels The more the better Test is performed in one level at a time and reported to the higher level

BIST Architecture Note: BIST cannot test wires and transistors: From PI pins to Input MUX From POs to output pins

Some Definitions CUT Circuit-under-test TPG Hardware test pattern generator ORA Output Response Analyser Signature Any statistical circuit property distinguishing between bad and good circuits

Pattern Generation Store in ROM too expensive Exhaustive impractical for n >15 Pseudo-exhaustive Pseudo-random (LFSR) Preferred method Test pattern augmentation LFSR combined with a few patterns in ROM

Pseudo-Exhaustive Pattern Generation

Random Pattern Testing Bottom: Random- Pattern Resistant circuit

Random Patterns Generation Just guess bits values Not repeatable! Algorithmically Define a seed Operate over the seed ULA Cheaper hardware?

Pseudorandom Integers X k = X k-1 + 3 (modulo 8) X k = X k-1 + 2 (modulo 8) 0 0 7 1 7 1 6 2 +3 Start 6 2 +2 Start 5 3 5 3 4 4 Sequence: 2, 5, 0, 3, 6, 1, 4, 7, 2... Sequence: 2, 4, 6, 0, 2... Maximum length sequence: 3 and 8 are relative primes. 17

Polynomials Vector = binary number Binary number can be read as a polynomial module 2 101001 = 1 x 5 + 0x 4 + 1 x 3 + 0x 2 + 0x 1 + 1x 0 Module 2 sum = XOR! So, the binary number can be written as: 1 x 5 0x 4 1 x 3 0x 2 0x 1 1x 0

Polynomials How can we represent or implement such a sum in HW? Coeficients must be stored: FFs Sums must be performed: XOR gates! So, a LFSR can represent a polynomial and vice-versa

Generic LFSR Standard Linear Feedback Shift Register (LFSR) (Type 1) The location of the XOR gates represent the non-zero coefficients of the associated polynomial (characteristic polynomial) Ex: x 3 + x 2 + x + 1 or x 3 + x + 1

Matrix Equation for Standard LFSR X 0 (t + 1) X 1 (t + 1). X n-3 (t + 1) X n-2 (t + 1) X n-1 (t + 1) = 0 0... 0 0 1 1 0... 0 0 h 1 0 1... 0 0 h 2 0 0... 1 0 h n-2 0 0... 0 1 h n-1 X 0 (t) X 1 (t). X n-3 (t) X n-2 (t) X n-1 (t) X (t + 1) = T s X (t) (T s is companion matrix) Copyright 2005, Agrawal & Bushnell Lecture 11: BIST 21

LFSR Properties Must not initialize to all 0 s hangs If X is initial state, LFSR progresses through states X, T s X, T 2 s X, Ts 3 X, Matrix period: Smallest k such that T k s = I k = LFSR cycle length Maximum length k = 2 n -1, when feedback (characteristic) polynomial is primitive Example: 1 + X+ X 3 Characteristic polynomial: 1 + h 1 x + h 2 X 2 + + h n-1 X n-1 + X n Copyright 2005, Agrawal & Bushnell Lecture 11: BIST 22

LFSR as TPG LFSR has cyclic and repetitive behavior Ex: characteristic polynomial = x 3 + x + 1

LFSR as TPG LFSR has cyclic and repetitive behavior Ex: characteristic polynomial = x 3 + x + 1 Does it remind you of something? Maybe patterns... What if initial state is 000???? LFSR can be used as a pseudo-random TPG!

LFSR: 1 + X + X 3 RESET 001 100 CK D Q X 2 D Q X 1 D Q X 0 000 011 111 110 101 010 RESET X 2 X 1 X 0 Test of primitiveness: Characteristic polynomial of degree n must divide 1 + X q for q = n, but not for q < n Copyright 2005, Agrawal & Bushnell Lecture 11: BIST 25

Still LFSRs All LFSR is cyclic but the number of distinct patterns generated varies... Ex: For the same 3 bits, let s try the characteristic polynomial: x 3 + x 2 + x + 1 Start with 010 Then with 001 What do we want for testing?

Primitive Polynomial The more distinct patterns the better A LFSR can generate all 2 N 1 distinct values when the characteristic polynomial is a primitive one. Primitive polynomial: it divides the polynomial 1 + x k, for k = 2 N 1 x 3 + x 2 + x + 1? x 3 + x + 1?

Primitive Polynomials To find a primitive polynomial is NP-complete problem! 8-bit LFSR has 16 primitive polyn. 16-bit LFSR has 2048 32-bit LFSR has 67108864 Which polynomial must we use????? Look up for the tables!!!

Notation 3: 1 0 x 3 + x + 1 12:7 4 3 0 x 12 + x 7 + x 4 + x 3 + 1 First and last coefficients are ALWAYS there! Now, define the LFSR representing the following polyonomial: 5: 2 0 What if we read the FFs from left to right?!?!?!?

Generic Modular LFSR (type 2)

Example Modular LFSR f (x) = 1 + x 2 + x 7 + x 8 Read LFSR tap coefficients from left to right Same theory applies!

Test Pattern Augmentation Secondary ROM to get LFSR to 100% SAF coverage Add a small ROM with missing test patterns Add extra circuit mode to Input MUX shift to ROM patterns after LFSR done Important to compact extra test patterns