STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes

Similar documents
SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS-

Enabling Analog Integration. Paul Kempf

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

High-Performance Technologies for an Analog-Centric World

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

STMicroelectronics L6262S BCD-MOS IC Structural Analysis

24. Scaling, Economics, SOI Technology

PICOSECOND TIMING USING FAST ANALOG SAMPLING

IC Mask Design. Christopher Saint Judy Saint

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Sharif University of Technology. SoC: Introduction

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

[2 credit course- 3 hours per week]

Design Of Analog Cmos Integrated Circuits Razavi Solutions

EECS150 - Digital Design Lecture 2 - CMOS

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

MPW Service Center for ICs, Photonics & MEMS Prototyping & Low Volume Production

Analog High Voltage ASIC Design Techniques

Lecture 1: Intro to CMOS Circuits

2. Depletion MOSFET (DE-MOSFET).

Lecture 1: Circuits & Layout

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Semiconductor Devices. Microwave Application Products. Microwave Tubes and Radar Components

VLSI Design Digital Systems and VLSI

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn:

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

EE262: Integrated Analog Circuit Design

PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

1967 FIRST PRODUCTION MOS CHIPS 1969 LSI ( TRANSISTORS) PMOS, NMOS, CMOS 1969 E-BEAM PRODUCTION, DIGITAL WATCHES, CALCULATORS 1970 CCD

Innovative Fast Timing Design

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model

AMI C5N Process Design Rules

IC TECHNOLOGY Lecture 2.

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Power Distribution and Clock Design

EVALUATION KIT AVAILABLE 12.5Gbps Settable Receive Equalizer +2.5V +3.3V V CC1 V CC. 30in OF FR-4 STRIPLINE OR MICROSTRIP TRANSMISSION LINE SDI+ SDI-

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

Designing VeSFET-based ICs with CMOS-oriented EDA Infrastructure

Power Device Analysis in Design Flow for Smart Power Technologies

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous)


Future of Analog Design and Upcoming Challenges in Nanometer CMOS

The ATLAS Pixel Chip FEI in 0.25µm Technology

CCD 143A 2048-Element High Speed Linear Image Sensor

Challenges in the design of a RGB LED display for indoor applications

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power

FinFETs & SRAM Design

A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

LFSR Counter Implementation in CMOS VLSI

TKK S ASIC-PIIRIEN SUUNNITTELU

Why Use the Cypress PSoC?

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Multifunction Digital Timer

RX40_V1_0 Measurement Report F.Faccio

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course

CHAPTER 9. Actives Devices: Diodes, Transistors,Tubes

Digital Integrated Circuits EECS 312

Chapter 7 Memory and Programmable Logic

Slide Set 14. Design for Testability

MOSIS Scalable CMOS (SCMOS) Design Rules. (Revision 7.2) The MOSIS Service USC/ISI Admiralty Way. Marina del Rey, CA

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz

ROM MEMORY AND DECODERS

CMOS DESIGN OF FLIP-FLOP ON 120nm

DEPFET Active Pixel Sensors for the ILC

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

Features. = +25 C, Vs = 5V, Vpd = 5V

The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Digital Integrated Circuits A Design Perspective Solution

I-fuse TM : Best OTP for FD-SOI and Sub-14nm

Flip-Flops A) Synchronization: Clocks and Latches B) Two Stage Latch C) Memory Requires Feedback D) Simple Flip-Flop Gate

PHASE-LOCKED loops (PLLs) are widely used in many

UNIT V 8051 Microcontroller based Systems Design

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Chip Design Project TSEK06

Digital Circuits. Innovation Fellows Program

Product Specification PE4151

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Transcription:

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes http://cmp.imag.fr

STMicroelectronics Technology offers at CMP: 160nm CMOS: BCD8SP 1994 at CMP 160nm CMOS : SOIBCD8s CMP Process Portfolio from ST New 130nm CMOS: HCMOS9GP 130nm SiGe: BICMOS9MW 130nm SOI: H9SOI FEM 130nm HV CMOS: HCMOS9A 65nm CMOS: CMOS065LPGP 55nm SiGe: BICMOS055 28nm FDSOI: 28FDSOI 2017 at CMP AMS 0.35µ 18k gates/mm 2 ST 0.25µ 35k gates/mm 2 ST 0.18µ 80k gates/mm 2 AMS 0.8µ AMS 0.6µ 3k gates/mm 2 1.2k gates/mm 2 ST 130nm 180k gates/mm 2 1/1000 x gate delay (from ns to ps). ST 90nm 400k gates/mm 2 ST 65nm 800k gates/mm 2 ST 55nm 970k gates/mm 2 ST 28nm 3M gates/mm 2 1/1000 x power consumption (from µw/mhz to pw/mhz). 1300 x density integration. 3

Deep Sub micro 160nm: BCD8SP 160nm BCD8SP: Bipolar CMOS DMOS Smart Power: 160nm Mixed Analog / Digital Bipolar CMOS DMOS 4LM. Gate length: 160nm (drawn). 4 Cu metal layers, Thick Power M4. Operating voltages: 1.8V 5V : Digital & Analog. 10V 65V: Power MOS. Analog + Digital + Power & HV on one chip. High Voltage to drive external loads. Analog block to interface «externalworld» to the digital systems. Digital Core for signal processing. Memories SPRAM/ DPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 2 MPW runs organised in 2017: 10th March and 10th September. Starting Price: 2800 /mm² for 25 samples. Turnaround: 18 weeks. Current supported version of Design Kits : 2.0a. 2 Centers received the design rules and design kits. Applications: Hard Disk Drivers, Power Combo, Motor Drivers, DC DC converter, Power Management. 4

Deep Sub micro 160nm: SOIBCD8s 160nm SOIBCD8s: Bipolar CMOS DMOS Smart Power on SOI: 160nm Mixed Analog / Digital Bipolar CMOS DMOS 4LM on SOI Gate length: 160nm (drawn). 4 Cu metal layers, Thick Power M4. Operating voltages: 1.8V (optional) 3.3V (baseline) : Digital & Analog. 6V 40V: Power MOS 70V 200V: High Voltage MOS Analog + Digital + Power & HV on one chip. High Voltage to drive external loads. Analog block to interface «externalworld» to the digital systems. Digital Core for signal processing. New Memories SPRAM/ DPRAM / ROM available free of charge on request. Dielectric Isolation on SOI MPW runs organised in 2017: 29 September 2017 Starting Price: 2800 /mm² for 25 samples (to be confirmed) Turnaround: 18 weeks Current supported version of Design Kits : TBD Applications: Audio Amplifier, Sensor Interface ICs, 3D Ultrasound. 5

SOI Isolation versus Junction Isolation Deep Sub micro 160nm: SOIBCD8s Advantages Parasitic bipolars elimination Reduced isolation distance Below Ground capability EMI robustness Drawbacks High cost of substrate Parasitic capacitance Thermal effect Fully isolated HV MOS section SOI BCD is convenient or even mandatory in case of: Ultrasound Probe ASIC µ-mirror driver High Voltage Amoled Power Supply Noise Immunity Below Ground pins Low Consumption Automotive Sensor ASIC Airbag Car Radio Full digital amplifier 6

Deep Sub micro 160nm: SOIBCD8s Device Portfolio Low Voltage 1.8V CMOS (3.5mn oxide) 3.3V CMOS (7nm oxide) Diodes 5V Zener p+/nwell, p+/nwell3v3 n+/pwell, n+/pwell3v3 HV Fast Diodes 100V/200V Medium Voltage N-DRIFT on GOX=7nm 6V, 20V, 40V P-DRIFT on GOX=7nm 6V, 40V Capacitors 1.8V/3.3V poly P+ on pwell 1.8V/3.3V poly N+ on cpcimp (pwell) 5V poly-poly HL 100/200V MOM 30V MOM interdigitated High Voltage N-DRIFT on GOX=7nm 70V, 100V, 140V, 200V P-DRIFT on GOX=7nm 70V, 100V, 140V, 200V Resistors Poly resistors, including HIPO resistor (1kOhm and 6kOhm/sq) Diffused resistors Thin film resistor Bipolar 5V NPN 5V NPN w/ CPCIMP 3.3V PNP Trimming OTP Antifuse on 7nm GOX 7

Deep Sub micro 130nm: H9GP / B9 130nm HCMOS9GP CMOS and BiCMOS9MW SiGe: General Purpose: 130nm mixed A/D/RF CMOS SLP/6LM (triple Well) HCMOS9GP. BICMOS9MW technology is using 130nm HCMOS9GP as base process. Gate length: 130nm (drawn). 6 Cu Metal layers. SiGe C bipolar transistor (ft around 230GHz) in BiCMOS9MW. High performance and Medium voltage NPN bipolar transistor. Memories SPRAM/ DPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 3 MPW runs organised in 2017: 23rd February, 12th July, 22sd November. Starting Price: 2500 /mm² (H9GP) and 3100mm² (BiCMOS9PW) for 25 samples. Turnaround: 18 weeks. Current supporter version of the Design kits: 9.2 (RF option available) in HCMOS9GP. Current supporter version of the Desing kits: 2.7 in BiCMOS9MW. 339 Centers received the design rules and design kits. 18 circuits manufactured in 2016 (33 circuits in 2015). Applications: General purpose Analog/Digital/ RF applications and Millimeter Wave applications (frequencies up to 77GHz for automotive radars), WLAN, Optical communications. 8

Deep Sub-micro 130nm: H9-SOI-FEM 130nm H9 SOI FEM: Front End Module: 130nm mixed A/D/RF CMOS SLP/M4TC ( Thick Copper Metal Stack). Gate length: 130nm (drawn). 4 Cu metal layers, 1 thick copper. Power supply: 1.2 V. High Linearity MIM capacitor (2fF/mm 2 ). 5.0V NLDMOS & PLDMOS. RAMS : No available RAM/ROM. 200mm SOI wafers with high resistive (HR) substrate and Trap Rich SOI. 3 MPW runs organised in 2017 : 19th February, 6 July and 16th November. Starting Price: 2400 /mm² for 25 samples. Turnaround: 12 weeks. Current supported version of the design kits: 14.1. 23 Centers received the design rules and design kits. 9 circuits manufactured in 2016 (3 circuits in 2015). Applications: Radio receiver/transceiver, Cellular, Wifi, Automative keyless systems. 9

Deep Sub-micro 130nm: HCMOS9A 130nm HCMOS9A HV CMOS: Mixed Digital / Analog / Energy Management: 130nm mixed A/D/RF CMOS SLP/4LM (triple Well). Gate length: 130nm (drawn). 4 Cu metal layers, Thick M4. Low k inter level dielectric. Operating voltages: 1V2 GO1, 4V8 for GO2, 20V for HV with DGO option. Single Gate Oxide option also qualified : No GO1 1V2 CMOS. Specific Devices: N&P 20V Drift MOS with 85A gate oxide, MIM 5fF capacitor. Memories SPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 1 MPW run organised in 2017: 2sd November. Starting Price: 2500 /mm² for 25 samples. Turnaround: 12 weeks. Current supported version of the design kits: 10.7. 21 Centers received the design rules and design kits. No circuit manufactured in 2016 (2 circuits in 2015). Cross section view - Bipolar HV transistor Power Management. Applications: Implantable devices, Robots/drones, Energy harvesting applications, Sensors wireless, Connected devices/internet of thing(cell phones), Autonomous systems. 10

Deep Sub-micro 65nm: CMOS65LPGP 65nm CMOS65LPGP CMOS: Low Power General Purpose: 65nm mixed A/D/RF CMOS SLP/7LM (triple Well). Gate length: 65nm (drawn). 7 Cu metal layers. Low k inter level dielectric (k=2,9). Power supply: 2.5V, 1.8V, 1.2V, 1V. Multiple Vt transistor offering. A 55 million transistor many-core chip Courtesey of B.BAAS et al, University of California, Davis High Density of integration: 800kgates/mm². Memories SPRAM/ DPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 3 MPW runs organised in 2017: 9th March, 22th June and 19th October. Starting Price: 6500 /mm² for 25 samples. Turnaround: 22 weeks. Current supported version of the design kits: 5.3.7 (RF option available). 377 Centers received the design rules and design kits. 18 circuits manufactured in 2016 (68 circuits in 2015). Applications: General purpose, Analog/RF capabilities. 11

55nm BiCMOS055 SiGe: Low Power: 55nm mixed A/D/RF CMOS SLP/8LM (triple Well). Gate length: 55nm (drawn). 8 Cu metal layers. Power supply: 1.2V and 2.5V for core. 1.8V, 2.5V and 3.3V for IOs. Bipolar SiGe C NPN transistors: High Speed NPN. Medium Voltage NPN. High Voltage NPN. Millimiter wave inductor. 2.5V Drift NMOS and PMOS. Deep Sub-micro 55nm: BiCMOS055 3 MPW runs organised in 2017: 21st March, 8th June and 27th October. Starting Price: 7900 /mm² for 25 samples. 4mm² block price: 25,6k for 25 samples. Turnaround: 24 weeks. Current supported version of the design kits : 2.4 27 Centers received the design rules and design kits. 9 circuits manufactured in 2016. Applications: Optical, Wireless and High Performance Analog 12 Applications. 12

28nm FDSOI: Fully depleted Silicon On Insulator: Deep Sub-micro 28nm: FDSOI28 28nm mixed A/D/RF CMOS SLP/10LM (triple Well). Gate length: 28nm (drawn). 8 Cu metal layers (6 thin + 2 thick). Low leakage (High Density) SRAM using Low Power core oxide. IO supply voltage: 1,8 V using the IO oxide. Ultra low k inter level dielectric. RAMS : RAMS and ROM available. Lead time for memory generation: 1 to 2 weeks. Process options: MIM : Metal Insulator Metal capacitance. OTP (anti Fuse) : Capacitance + Drift MOS transistor. 3 MPW runs organised in 2017: 30th January, 15th April, to be announced. Starting price: 12500 /mm² for 25 samples. 4mm² block price: 39.4k for 25 samples. Turnaround: 28 weeks. Current supported version of the design kits : 2.7.a. 214 Centers received the design rules and design kits. 46 circuits manufactured in 2016 (61 circuits in 2015). Applications: Low power and high performance applications 113

Deep Sub-micro 28nm: FDSOI28 10 metal layers (10ML) process flavor with MIM capacitor are standard options on the 2 first MPW runs in 2017. These options are still available for the following MPW but, specific quotation will apply. 14

STMicroelectronics Libraries Standard Cells libraries included in STMicroelectronics Design kits: CORE cells Libraries: CORE: General purpose core libraries. CORX: Complementary core libraries (complex gates). CLOCK: Buffer cells for clock tree synthesis. PR: Place and route filler cells. DP: Datapath leaf cells libraries. HD: High density core libraries. IO cells Libraries: 1.8V, 2.5V, 3.3V IO pads: 80μ, 65μ, 60μ, 50μ 40μ and 30μ IO pads : Digital and Analog. Staggered IO pads. Flip-Chip pads. Level Shifters, and compensation cells. ESD. 15

STMicroelectronics IP blocks RAMS and ROM block available through STMicroelectronics generators: Technology SPREG SPRAM DPREG DPRAM ROM MPSRAM BCD8SP Yes Yes Yes HCMOS9GP Yes Yes Yes Yes BICMOS9MW Yes HCMOS9A Yes Yes CMOS65LP Yes Yes Yes Yes Yes CMOS65GP Yes Yes CMOS28FDSOI Yes Yes Yes Yes Yes Flow for a request of block (1 or 2 weeks): Send to CMP type, number of words and number of bits. Receive results of Cut explorer. Send names of selected cuts. Generation at STMicroelectronics, data preparation at CMP (reduced layouts). Delivery of blocks. Data include layout, models for simulation, files for P&R. 16

STMicroelectronics Design kits Supported CAD Tools by STMicroelectronics Design kits: 17

Design transfer Submission cycle for CMP users Wafers shipment Users Foundry Research Laboratories Education & Universities Companies, Sartup Data checking (DRC) Help for corrections (Report) Data preparation (Sealring/Tiling) Supports 2 to 3 weeks Report for corrections Validated Transfer designs 12 to 28 weeks Depending on technologies Process Nbr. Of DRC Rule Checks 130nm 65nm 55nm 28nm 0.35 CMOS 28nm FDSOI CMOS CMOS CMOS CMOS 400 750 1650 2770 4650 5250 18

. Data preparation for CMP users The circuits must be sent at CMP by FTP : You must send your circuit without sealring and without tiling. You must run DRCs on the gds2 file before sending it. DRC must be clean except low densities outside exclusion area. DRC is free of fatal error Sealring generation Dummies generation Replacement of ST standard cells Verification of ST standard cells used Data checking + DRC Help for corrections (Report) Supports Addition of the sealring Addition of logos Addition of fondry cells Move to origin Verification of generated dummies Final DRC Verification of densities Report to the user if necessary Preparation of final database Shipment to ST 19

Thank you!