DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Similar documents
VLSI System Testing. BIST Motivation

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Design of Fault Coverage Test Pattern Generator Using LFSR

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

VLSI Test Technology and Reliability (ET4076)

I. INTRODUCTION. S Ramkumar. D Punitha

SIC Vector Generation Using Test per Clock and Test per Scan

Overview: Logic BIST

LOW-OVERHEAD BUILT-IN BIST RESEEDING

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

A New Low Energy BIST Using A Statistical Code

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Controlling Peak Power During Scan Testing

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

ECE 715 System on Chip Design and Test. Lecture 22

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

This Chapter describes the concepts of scan based testing, issues in testing, need

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Diagnosis of Resistive open Fault using Scan Based Techniques

Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST )

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Testing Digital Systems II

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

LFSR Counter Implementation in CMOS VLSI

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

Testing and Power Optimization with Programmable PRPG Technique

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

Design of Efficient Programmable Test-per-Scan Logic BIST Modules

Design of BIST with Low Power Test Pattern Generator

Using on-chip Test Pattern Compression for Full Scan SoC Designs

ISSN:

HIGHER circuit densities and ever-increasing design

Test Compression for Circuits with Multiple Scan Chains

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Weighted Random and Transition Density Patterns For Scan-BIST

Transactions Brief. Circular BIST With State Skipping

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

ISSN (c) MIT Publications

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

Survey of low power testing of VLSI circuits

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

Test Data Compression for System-on-a-Chip Using Golomb Codes 1

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

Name of the Department where Registered : Electronics and Communication Engineering

Efficient Test Pattern Generation Scheme with modified seed circuit.

Changing the Scan Enable during Shift

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Low Power Estimation on Test Compression Technique for SoC based Design

Deterministic BIST Based on a Reconfigurable Interconnection Network

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore.

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Design of BIST Enabled UART with MISR

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Test Pattern Generation Using BIST Schemes

Power Problems in VLSI Circuit Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Fault Detection And Correction Using MLD For Memory Applications

Evaluating BIST Architectures for Low Power

Doctor of Philosophy

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER

Survey of Test Vector Compression Techniques

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

LFSR Based Watermark and Address Generator for Digital Image Watermarking SRAM

Implementation of Low Power Test Pattern Generator Using LFSR

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications

LOW POWER TEST PATTERN GENERATION USING TEST-PER-SCAN TECHNIQUE FOR BIST IMPLEMENTATION

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Transcription:

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com 2. Asst.Prof, Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :anitha191@gmail.com Abstract: A New ad-hoc way of doing to select the right seed and the number of the random test designs to be produced is presented. This way of doing uses an offline algorithm to look for and put in order the random designs based on the deterministic test designs produced by the automatic test good example generator (ATPG). The seed activated having an effect equal to the input take-back shift Register (LFSR) produces completely test designs which are sent in name for on any design under test (DUT). The moves are received at the output of the digital copy chains in the DUT and they are get forced together to produce a sign-mark. It is made clear that this design produces the same fault amount covered with lesser number of random test designs than a not based on rules seed. in addition, this way of doing helps to value the number of BIST test designs to be produced to get done special fault amount covered. outcomes on 6 ISCAS-89 designs with the help of Cadence meeting true time 13.1 ATPG is given view Index Terms: Random patterns; LFSR; seed selection,atpg,dft. amount covered without any strange area and I. INTRODUCTION pin overhead and without giving System on bit broken out (SoC) designs becoming common in the current day very greatly sized scale got mixed together way taken by electric current (VLSI) technology. limited by agreement automatic test necessary things (took food) based testing careful way is no longer able to grip the evergrowing test lower, less important position to doing a play were was out for discovery. reasoning made in Self Test (BIST) is increasingly took up in the testing of current day by numbers, electronic journeys round. three Major reasoning BIST questions. Test time, test memory, number of structures join an on-chip good example pins, test facts amount and test power using up are fixedly, unchangingly increasing that causes an increase in the test price. first one, then the other methods which make ready high fault generator to send in name for the test vectors, a move compactor to a fixed agreement the moves from the design under test, a BIST controller to control the overall way taken by

electric current. Also present are, a ROM test put. In addition, in the offered way of memory unit to store the of great value move and a comparator to make a comparison the of great value move with the journeys round move and giving an idea of whether the DUT has passed the BIST test or not. reasoning doing, a parallel LFSR structure is used as the PRPG to food the digital copy inputs and first inputs of the design which in turn gets changed to other form the BIST area II. THE LITERATURE ANALYSIS BIST is a way of doing in which, the way taken For decades, due to its simple circuit by electric current is tested each time before they start up. reasoning BIST test structures are fixed in to the IC with the design unit thus adding an overhead to the area of the IC. This structure that consists of only flip-flops and a few 2-input XOR gates, linear feedback shift registers (LFSRs) have been widely used in the communication and computer industries to increase in area is usually very small to 10%) generate pseudorandom sequences. in comparison to the area of the SoC letters used for printing of Designs. In the past, several persons making observations have made observation the able to be done of giving another in place of the ATPG with BIST by Applications of LFSRs include error correcting codes [1], pseudorandom pattern generation and signature analysis in logic built-in self-test (BIST) [2, 3], test data decompression and test data compaction in scan compression [3, 4], making right adjustments in the BIST and cryptography [5]. Such LFSRs are typically techniques to get done like fault amount covered with ATPG. The end of all those make observations is to increase the doing work well of the BIST process. In explained a design for having an effect equal to the input test facts forced together. In common, the doing work well of a BIST process is taken to be true as the test amount covered achieved with smallest test put possible without in addition area or power overhead. In order to get done the detailed end, this paper has a discussion a way of doing for the Judicial selection of the seed (starting state) of the on-chip good example generator unit based on the ATPG designs. It helps in possible & unused quality copies of smaller size in the number of random designs produced and thus increasing the doing work well in terms of the number of designs made lower, less in comparison with the completely constructed in a standard or modular form, where one or more XOR gates are interspersed between a flip-flop and the feedback path to generate a desired pseudorandom sequence [6]. When a maximum-length sequence (often called an m-sequence) is generated, the LFSR is referred to as a maximumlength LFSR. If k 2- input XOR gates are required to generate a pseudorandom sequence, then the signal on the feedback path would have to propagate through k XOR gates (as in the standard LFSR) or must be strong enough to drive k+1 fanout nodes (as in the modular LFSR). In either case, the circuit is slowed and may not be applicable for high-performance applications. The purpose of this article is to explain what a Linear Feedback Shift Register (LFSR) and a Parallel Signature Analyzer (PSA) are and how to use them to test a Application-Specific Integrated

Circuit (ASIC) using SCOPE cells. This article that, at a 16-MHz clock rate, would take almost begins with a description of an LFSR, goes into Pseudorandom Pattern Generation (PRPG) and fault grading, describes a PSA, and, last, shows how to implement the PSA and LFSR functions using SCOPE boundary-scan cells, which are compatible with IEEE 1149.1. LFSR An LFSR is a shift register that, when clocked, advances the signal through the register from one bit to 5 minutes to generate the whole pattern set. III. SYSTEM ARCHITEXURE The detection of faults by the random patterns is by probability. The ordering of the test vectors does not make an impact on the fault coverage in random pattern testing. The number of faults detected by a random pattern is usually high for the first few or many the next most-significant bit (see Figure 1). patterns and then reduces with further Some of the outputs are combined in exclusive- patterns. The detected faults are removed from OR configuration to form a feedback the list after the application of each test mechanism. A linear feedback shift register can be formed by performing exclusive-or on the pattern. In general, after a particular number of patterns, the SOC fault coverage of random outputs of two or more of the flip-flops together patterns saturates and even hundreds of and feeding those outputs back into the input of one of the flip-flops as shown in Figure 2. A maximal-length LFSR produces the maximum number of PRPG patterns possible and has a pattern count equal to 2n 1, where n is the number of register elements in the LFSR. It produces patterns that have an approximately equal number of 1s and 0s and have an equal number of runs of 1s and 0s.1 Because there is no way to predict mathematically if an LFSR will be maximal length, Peterson and Weldon2 have compiled tables of maximal-length LFSRs to which designers may refer. Table 1 shows the patterns produced by the LFSR in Figure 2, assuming that a pattern of 111 was used as a seedin a practical ASIC design, the user would create an LFSR that is much bigger than three bits to get a large number of pseudorandom patterns may detect a few faults only. These faults are the most difficult to detect. Further generated many random patterns may not detect a fault in the DUT. Selecting a proper seed can significantly help us in reducing the production of these random test vectors to a lesser number. Deterministic test patterns are generated for a design and are usually a subset of the exhaustive random patterns generated. Analyzing this ATPG patterns occurring in specific locations in the (Pseudo) exhaustive random patterns, the seed can be selected and the number of random patterns to be generated can be limited. A. Circuit Under Test (CUT) Circuit under test is the circuit which is to be tested to find the faults present in that circuit. Controllability, observability and predictability patterns before the patterns repeated. are the three most important factors that However, there are some practical restrictions to the length of the LFSR. A 32-bit maximallength determine the complexity of driving a test for a circuit. A circuit under test fails when its LFSR would create over 4 billion patterns

observed behavior is different from its Linear feedback shift registers make extremely expectated behavior. B.Output Response Analyzer (ORA) good pseudorandom pattern generators. When the outputs of the flip-flops are loaded with a The Output Response Analyzer (ORA) seed value (anything except all 0s, which would compacts the output responses of the CUT to the many test patterns produced by the TPG into a single Pass/Fail indication. The output response analyzer is sometimes referred to as an Output Data Compaction (ODC) circuit. The significance of the output response analyzer is that there is no need to compare every output response from the circuit under test with the cause the LFSR to produce all 0 patterns) and when the LFSR is clocked, it will generate a pseudorandom pattern of 1 s and 0 s. LFSR output streams are deterministic. The output stream is reversible; an LFSR with mirrored tap sequence will cycle through the states in reverse order. However RESULTS expected output response external to the device. Only the final Pass/Fail indication needs to be checked at the end of the BIST sequence in order to determine the fault- free/faulty status of the CUT. C. Two-dimensional (2-d) linear feedback shift registers (LFSRs) An LFSR is a shift register that, when clocked, advances the signal through the register from one bit to the next most-significant bit. Some of the outputs are combined in exclusive-or configuration to form a feedback mechanism. A linear feedback shift register can be formed by performing exclusive-or on the outputs of two or more of the flip-flops together and feeding those outputs back into the input of one of the flip-flops Fig2: Test patterns in random, an LFSR with a well-chosen feedback function can produce a sequence of bits which appears random and which has a very long cycle Seed selection and Classification of Random patterns Technique was developed and simulated in MODELSIM and Experiments were conducted on six different test sets of benchmark designs on a computer with 3.1 GHz CPU and 8GB memory. All the hardware designs were written in Verilog HDL and simulations are performed in Modelsim RTL simulator. These designs were synthesized using 90nm standard cells library in Cadence Encounter Tool. The ATPG test simulation is performed in Cadence Encounter Test Architect and pattern classification techniques are implemented A deterministic test pattern set is generated from the Standard Fig1: Generation of test patterns using x-or operation Test Interface extention which I have done in this project analysis is here I had taken the

initial n bit selection is8 bit reprasentaion Test, IEEE transactions on Instrumentation values then we will get the highest no of test paterns is 255 only here I have taken initial n bit selection is 9 then I derieved the higest no and Measurement, vol.57, no.3, March 2008. [2] C.I.H. Chen and K. George, Configurable random paterns no is 512 this was I I succes two-dimensional linear feedback shifter fully implimented and results has been registers for parallel and serial built-in selftest, showned in the figure2. V.CONCLUSION A new approach to optimize configurable LFSR for generating both embedded and random test IEEE transactions on Instrumentation and Measurement, vol. 53, no. 4, pp. 1005 1014, Aug. 2004. [3] Jinkyu Lee and Nur A. Touba, LFSRpattern in BIST has been proposed. This Reseeding Scheme Achieving Low-Power configurable LFSR-based test pattern generator generates: a deterministic sequence of test Dissipation During Test, IEEE Transactions on Computer-Aided Design of Integrated Circuits patterns for random-patternresistant faults and Systems, vol. 26, no. 2, February 2007. random test patterns for random-patterndetectable [4] Dimitri Kagaris, Spyros Tragoudas, Amitava faults. The configurable LFSR test generator can be adopted in two basic BIST Majumdar, Test-set partitioning for multiweighted random LFSRs, Integration, the VLSI execution options: test-per-clock (Parallel journal, 1999. BIST) and test-per-scan (serial BIST). The proposed LFSR structure will be implemented in MODELSIM by using VERILOG. By comparing [5] Gert Jervan,Elmet Orasson, Helena Kruus, Raimund Ubar, Hybrid BIST optimization using reseeding and test set compaction, Journal of normal LFSR and LFSR, the percentage Microprocessors and Microsystems,2008. improvement for fault coverage is 16%. The memory transition is high in test-per-scan BIST [6] Patrick Girard, Survey of Low-Power Testing of VLSI Circuits, Journal on IEEE compared to test-per-clock BIST. LFSR Design & Test of Computers, 2002. reseeding encoding technique is used in testper-scan [7] P.Rosinger, B.M.Al Hashini & BIST to reduce the memory transition and power consumption. This approach reduces N.Nicolici, Dual multiple-polynomial LFSR for low-power mixed-mode BIST,IEEE proc., on the number of transitions in the scan chains and thus minimizing power consumption. By computer No.4.,2003. digital techniques, vol.150, using encoding algorithm, the percentage [8] Nur A. Touba and Edward J. Mccluskey, improvement for power consumption is 17% Altering a pseudo-random bit sequence for REFERENCE scan-based BIST, IEEE International Test [1] Xinhui zhang, Chienhenry chen and Conference, 1996. aravindkumar chakravarthy, Structure Design [9] Xiaodong Zhang and Kaushik Roy, Design and Optimization of 2-DLFSR-Based and Synthesis of Low Power Weighted Random Multisequence Test Generator in Built-In Self-

Pattern Generator Considering Peak Power Reduction, Journal of Electronic Testing, 2001. [10] Zhanglei Wang, Krishnendu Chakrabarty and Michael Bienek, A Seed-Selection Method To Increase Defect Coverage For LFSR- Reseeding-Based Test Compression, 12th IEEE European Test Symposium, 2007.