Improved 32 bit carry select adder for low area and low power

Similar documents
Modified128 bit CSLA For Effective Area and Speed

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

Implementation of Low Power and Area Efficient Carry Select Adder

Design of Carry Select Adder using Binary to Excess-3 Converter in VHDL

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

FPGA Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of efficient carry select adder on FPGA

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

FPGA IMPEMENTATION OF LOW POWER AND AREA EFFICIENT CARRY SELECT ADDER

Design and Implementation of Low-Power and Area-Efficient for Carry Select Adder (Csla)

ISSN:

Research Article Low Power 256-bit Modified Carry Select Adder

DESIGN OF HIGH PERFORMANCE, AREA EFFICIENT FIR FILTER USING CARRY SELECT ADDER

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

Implementation of High Speed Adder using DLATCH

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder

Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA

128 BIT MODIFIED CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER

An Efficient Carry Select Adder

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Parjoona V. and P. Manimegalai

DESIGN OF LOW POWER AND HIGH SPEED BEC 2248 EFFICIENT NOVEL CARRY SELECT ADDER

Efficient Implementation of Multi Stage SQRT Carry Select Adder

A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3

Research Article VLSI Architecture Using a Modified SQRT Carry Select Adder in Image Compression

An MFA Binary Counter for Low Power Application

Design of Modified Carry Select Adder for Addition of More Than Two Numbers

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS

An Efficient High Speed Wallace Tree Multiplier

Design and Analysis of Modified Fast Compressors for MAC Unit

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Distributed Arithmetic Unit Design for Fir Filter

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

Aging Aware Multiplier with AHL using FPGA

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

LUT Optimization for Memory Based Computation using Modified OMS Technique

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

Optimization of memory based multiplication for LUT

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

Design of Fault Coverage Test Pattern Generator Using LFSR

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

Design of Memory Based Implementation Using LUT Multiplier

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Combinational Logic Design

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Design of Low Power Efficient Viterbi Decoder

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Midterm Exam 15 points total. March 28, 2011

Design of BIST with Low Power Test Pattern Generator

VLSI IEEE Projects Titles LeMeniz Infotech

Figure.1 Clock signal II. SYSTEM ANALYSIS

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

Subject : EE6301 DIGITAL LOGIC CIRCUITS

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

CHAPTER 4 RESULTS & DISCUSSION

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

FPGA Implementation of DA Algritm for Fir Filter

High Performance Carry Chains for FPGAs

Power Optimization by Using Multi-Bit Flip-Flops

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

A Novel Architecture of LUT Design Optimization for DSP Applications

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

THE USE OF forward error correction (FEC) in optical networks

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder

ALONG with the progressive device scaling, semiconductor

DESIGN OF LOW POWER TEST PATTERN GENERATOR

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

International Journal of Engineering Research-Online A Peer Reviewed International Journal

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

An Efficient Reduction of Area in Multistandard Transform Core


Microprocessor Design

FPGA Design with VHDL

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

Transcription:

Journal From the SelectedWorks of Journal October, 2014 Improved 32 bit carry select adder for low area and low power Syed Javeed Chanukya Rani Imthiazunnisa Begum Korani Ravinder This work is licensed under a Creative Commons CC_BY-NC International License. Available at: https://works.bepress.com/article/47/

IMPROVED 32 BIT CARRY SELECT ADDER FOR LOW AREA AND LOW POWER Syed Javeed Chanukya Rani Imthiazunnisa Begum Korani Ravinder M.Tech, VLSI Design Engineer, Assistant Prof, Head Of the Department, Assistant Prof, Electronics and Communication Electronics and Communication Electronics and Communication Electronics and Communication Engineering, VIF College of Engineering, VIF College of Engineering, VIF College of Engineering, VIF College of Engineering and Technology. Engineering and Technology. Engineering and Technology. Engineering and Technology. ABSTRACT: In the design of Integrated circuit area occupancy plays a vital role because of increasing the necessity of portable systems. Carry Select Adder (CSLA) is a fast adder used in data processing processors for performing fast arithmetic functions. From the structure of the CSLA, the scope is reducing the area of CSLA based on the efficient gate-level modification. In this paper 32 Bit Carry Select Adder (CSLA) architectures have been developed and compared with Square-root CSLA (SQRT CSLA). However, the Regular CSLA is still area-consuming due to the Single Ripple-Carry Adder (RCA) structure. For reducing area, the CSLA can be implemented by using X-OR Gate and two Mux circuit instead of using BEC. Comparing the Regular Linear CSLA with Regular SQRT CSLA, the Regular SQRT CSLA has reduced area as well as comparing the Modified Linear CSLA with Modified SQRT CSLA; the Modified SQRT CSLA has reduced area. The results and analysis show that the Modified Linear CSLA and Improved 32 Bit CSLA provide better outcomes than the Regular Linear CSLA and Regular SQRT CSLA respectively. This project was aimed for implementing high performance op-timized FPGA architecture. The carry select adder is programmed by using Verilog HDL and synthesized using Xilinx ISE 13.2. INDEX TERMS: Application-specific integrated circuit (ASIC), area-efficient, CSLA, low power. I. INTRODUCTION: Design of area- and power-efficient high-speed data path logic systems are one of the most substantial areas of research in VLSI system design. In digital adders, the speed of addition is limited by the time required to propagate a carry through the adder. The sum for each bit position in an elementary adder is generated sequentially only after the previous bit position has been summed and a carry propagated into the next position. The CSLA is used in many computational systems to alleviate the problem of carry propagation delay by independently generating multiple carries and then select a carry to generate the sum [1]. However, the CSLA is not area efficient because it uses multiple pairs of Ripple Carry Adders (RCA) to generate partial sum and carry by considering carry input Cin=0 and Cin = 1, then the final sum and carry are selected by the mul-tiplexers (mux). The basic idea of this work is to use Binary to Excess-1 Converter (BEC) instead of RCA with Cin=1 in the regular CSLA to achieve lower area and power consumption [2] [4]. The main advantage of this BEC logic comes from the lesser number of logic gates than the n-bit Full Adder (FA) structure. The details of the BEC logic are discussed in Section III. This brief is structured as follows. Section II deals with the delay and area evaluation methodology of the basic adder blocks. Section III presents the detailed structure and the function of the BEC logic. The SQRT CSLA has been chosen for comparison with the proposed design as it has a more balanced delay, and requires lower power and area [5], [6]. The delay and area evaluation methodology of the regular and modified SQRT CSLA are presented in Sections IV and V, respectively. The ASIC implementation details and results are analyzed in Section VI. Finally, the work is concluded in Section VII. A Monthly Peer Reviewed Open Access International e-journal www.ijmetmr.com Page 122

II. DELAY AND AREA EVALUATION METHOD- OLOGY OF THE BASIC ADDER BLOCKS: The AND, OR, and Inverter (AOI) implementation of an XOR gate is shown in Fig. 1. The gates between the dotted lines are performing the operations in paral-lel and the numeric representation of each gate indicates the delay contributed by that gate. The delay and area evaluation methodology considers all gates to be made up of AND, OR, and Inverter, each having delay equal to 1 unit and area equal to 1 unit. Then add up the number of gates in the longest path of a logic block that contributes to the maximum delay. The area evaluation is done by counting the total number of AOI gates required for each logic block. Based on this approach, the CSLA adder blocks of 2:1 mux, Half Adder (HA), and FA are evaluated and listed in Table I. III. BEC: As stated above the main idea of this work is to use BEC instead of the RCA with Cin = 1 in order to reduce the area and power consumption of the regular CSLA. To replace the n-bit RCA, an n+1-bit BEC is required. A structure and the function table of a 4-b BEC are shown in Fig. 2 and Table II, respectively. Fig. 3 illustrates how the basic function of the CSLA is obtained by using the 4-bit BEC together with the mux. One input of the 8:4 mux gets as it input (B3, B2, B1, and B0) and another input of the mux is the BEC output. This produces the two possible partial results in parallel and the mux is used to select either the BEC output or the direct inputs according to the control signal Cin. The importance of the BEC logic stems from the large silicon area reduction when the CSLA with large number of bits are designed. The Boolean expressions of the 4-bit BEC is listed as (note the functional symbols ~ NOT, & AND, ^XOR). X0 = ~B0; X1 = B0 ^ B1; X2 = B2 ^ (B0 & B1); X3 = B3 ^ (B0 & B1 & B2); IV. DELAY AND AREA EVALUATION METHOD- OLOGY OF 16-B SQRT CSLA: The structure of the proposed 16-b SQRT CSLA using BEC for RCA with Cin = 1 to optimize the area and pow-er is shown in Fig. 6. We again split the structure into five groups. The delay and area estimation of each group are shown in Fig. 7. The steps leading to the evaluation are given here. 1) The group2 [see Fig. 7(a)] has one 2-b RCA which has 1 FA and 1 HA for Cin = 0. Instead of another 2-b RCA with Cin =1 a 3-b BEC is used which adds one to the output from 2-b. RCA. Based on the consideration of delay values of Table I, the arrival time of selection input c1 [time (t) =7] of 6:3 mux is earlier than the s3 [t = 9] and c3[t=10] and later than the s2 [t=4]. Thus, the sum3 and final c3 (output from mux) are depending on s3 and mux and partial c3 (input to mux) and mux, respec-tively. The sum2 depends on c1 and mux. 2) For the remaining group s the arrival time of mux selection input is always greater than the arrival time of data inputs from the BEC s. Thus, the delay of the remaining groups depends on the arrival time of mux selection input and the mux delay. 3) The area count of group2 is determined as follows: Figure 1: 4-b BEC. A Monthly Peer Reviewed Open Access International e-journal www.ijmetmr.com Page 123

TABLE I Delay and Area count of modified sqrt CSLA: 4) Similarly, the estimated maximum delay and area of the other groups of the modified SQRT CSLA are evaluated and listed in Table IV. Figure 2: 16-b SQRT CSLA. The parallel RCA with Cin =1 is replaced with BEC. The SQRT CSLA saves 113 gate areas than the regular SQRT CSLA, with only 11 increases in gate delays.. V. IMPROVED CARRY SELECT ADDER: The truth table shown in Fig. 3 of a single-bit full-adder indicates that output sum (S0) is Ex-OR of inputs A and B when carry initial is logic 0 while output S0 is Ex-NOR of inputs A and B when carry initial is logic 1 as illustrate as two red circles in truth table. The improved CSA can be implemented by using this technique of sharing the common Boolean logic term in summation generation as shown in Fig. 3. Figure 4. Truth table for 1-bit full adder Figure 3. Delay and area evaluation of modified SQRT CSLA: (a) group2, (b) group3, (c) group4, and (d) group5. H is a Half Adder. Hence we need to use Ex-OR gate and INV gate to gen-erate the output sum signal pair. Sum output either the Ex-OR or the Ex-NOR could be selected using the multiplexer with select line as previous carry signal. The truth table also reveals that output carry (C0) is AND of A, B inputs when initial carry is logic 0 while C0 is OR of A, B when initial carry is logic 1.Same previous carry as select line to second multiplexer is used to select the A Monthly Peer Reviewed Open Access International e-journal www.ijmetmr.com Page 124

carry output of the first stage which would act as select line of the multiplexers in the second stage. As both sum generation and carry generation is carried out in parallel therefore there exist some competitiveness in speed also the power consumption reduces as duplication of the hardware doesn t exist in improved CSA as in case of the conventional. Figure 6: Simulation Result of 32 bit Improved CSLA RTL Schematic Figure 5. Improved CSLA. Figure 7: RTL Schematic of 32 bit Improved CSLA Timing Report: This paper proposed a 32-bit CSA by using improved CSA implementing with HYBRID PTL/CMOS logic style. PTL logic is used to implement the EX-OR, EX-NOR gates and multiplexer while AND, OR, NOT gates are designed using CMOS. Since PTL logic style doesn t gives a full swing so to overcome this two CMOS inverters are used because CMOS have a high noise margin so it correctly detects the output of PTL and generates a full swing at its output. An EX-NOR gate is designed using PTL followed by two CMOS inverters whose output again is an EX- NOR logic while for an EX-OR logic output is taken after the first CMOS inverter used in EX-NOR gate. Figure 8: Timing Report of 32 bit Improved CSLA Device Utilization Report: VI RESULTS: Simulation Results: A Monthly Peer Reviewed Open Access International e-journal www.ijmetmr.com Page 125

Figure 9: Device Utilization Summary CONCLUSION: In VLSI design, power and area are the constituent factors which limit the performance of any circuit. High Performance and power efficient circuits can be designed using Hybrid PTL/CMOS logic style. Hence 32 bit CSA using Hybrid PTL/CMOS logic style has been proposed. It has been found that the Gate count, power dissipation of the improved adder using Hybrid PTL/CMOS logic style is less than that of other conventional designs.and the above illustrated synthesis and simulation results are verified by using Xilinx ISE software. REFERENCES: [1] I-chyn Wey, Cheng Chen Ho, Yi-Sheng Lin,and Chien- Chang Peng An Area Efficient Carry Select Adder design by sharing the Boolean Logic term vol- II,IMECS 2012,March 14-16 2012,Hong Kong. [2] O.Bedrij, Carry Select Adder,IRE trans on Electronic Computers Vol EC-II,pp 340-346.1962. [3] JM Rabaey, Digital Integrated Circuits,IEEE trans on VLSI SYSTEMS 2003. [4] Shen Fu Hsiao,Member,IEEE,Mingyu Tsai, and Chia ShengWen Circuits and Systems-II ;Express Briefs,Vol 57,No1 January 2010. [5] B. Ramkumar and Hrish M kittur, Low-Power and Area Efficient Carry Select Adder in IEEE Transac-tion on Very Large Scale Integration (VLSI) Systems, Vol.20, No.2, February 2012. [6] Parmar, Shivani, and Kirat Pal Singh. "Design of high speed hybrid carry select adder." In Advance Computing Conference (IACC), 2013 IEEE 3rd International, pp. 1656-1663. IEEE, 2013. [7] B. Ramkumar, H.M. Kittur, and P. M. Kannan, ASIC implementation of modified faster carry save adder, Eur. J. Sci. Res., vol. 42, no. 1, pp.53 58, 2010. A Monthly Peer Reviewed Open Access International e-journal www.ijmetmr.com Page 126