CALCULATOARE NUMERICE

Similar documents
FPGA Laboratory Assignment 4. Due Date: 06/11/2012

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

CPE 329: Programmable Logic and Microprocessor-Based System Design

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Testing Results for a Video Poker System on a Chip

Traffic Light Controller

Lecture 14: Computer Peripherals

Programmable Logic Design I

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

FPGA-BASED EDUCATIONAL LAB PLATFORM

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Design of VGA Controller using VHDL for LCD Display using FPGA

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Experiment # 12. Traffic Light Controller

SOC Implementation for Christmas Lighting with Pattern Display Indication RAMANDEEP SINGH 1, AKANKSHA SHARMA 2, ANKUR AGGARWAL 3, ANKIT SATIJA 4 1

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics

Registers and Counters

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

OL_H264e HDTV H.264/AVC Baseline Video Encoder Rev 1.0. General Description. Applications. Features

Main Design Project. The Counter. Introduction. Macros. Procedure

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

Individual Project Report

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

CARLETON UNIVERSITY. Facts without theory is trivia. Theory without facts is bull 2607-LRB

AbhijeetKhandale. H R Bhagyalakshmi

Block Diagram. pixin. pixin_field. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. pixels_per_line. lines_per_field. pixels_per_line [11:0]

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Automatic Transfer Switch Control PLC Operator s Manual

EECS 578 SVA mini-project Assigned: 10/08/15 Due: 10/27/15

Lab Assignment 2 Simulation and Image Processing

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

LAB #6 State Machine, Decoder, Buffer/Driver and Seven Segment Display

EE178 Spring 2018 Lecture Module 5. Eric Crabill

Risk Risk Title Severity (1-10) Probability (0-100%) I FPGA Area II Timing III Input Distortion IV Synchronization 9 60

Laboratory Exercise 7

Main Design Project. The Counter. Introduction. Macros. Procedure

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

SHA-256 Module Specification

LogiCORE IP Video Timing Controller v3.0

Lab Assignment 5 I. THE 4-BIT CPU AND CONTROL

Serial FIR Filter. A Brief Study in DSP. ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 GEORGE MASON UNIVERSITY.

1. Synopsis: 2. Description of the Circuit:

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

ECE 270 Lab Verification / Evaluation Form. Experiment 9

L12: Reconfigurable Logic Architectures

ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis

6.111 Project Proposal IMPLEMENTATION. Lyne Petse Szu-Po Wang Wenting Zheng

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

2.6 Reset Design Strategy

1. Overview. SSI-1016G interfaces with 24, 25 and 26 bit Temposonics SSI sensor. Block diagram. as PNP open collector output

HS-509 VIBRATION TRIP MODULE

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

Inside Digital Design Accompany Lab Manual

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

Owner's Manual. TOUCH SCREEN CONTROLLER for Air Conditioning Control System. Model BMS-CT5120UL. English

IP-DDC4i. Four Independent Channels Digital Down Conversion Core for FPGA FEATURES. Description APPLICATIONS HARDWARE SUPPORT DELIVERABLES

Viterbi Decoder User Guide

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CONVOLUTIONAL CODING

Design of VGA and Implementing On FPGA

Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC

Programmable Logic Design Techniques II

Electromechanical timepieces; Electromechanical aspects of electronic timepieces

Design and Implementation of an AHB VGA Peripheral

Fingerprint Verification System

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

LOCAL DECODING OF WALSH CODES TO REDUCE CDMA DESPREADING COMPUTATION. Matt Doherty Introductory Digital Systems Laboratory.

Sequential Circuit Design: Principle

Logic Design. Flip Flops, Registers and Counters

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

Laboratory Exercise 7

Digital Systems Laboratory 1 IE5 / WS 2001

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

CPS311 Lecture: Sequential Circuits

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

FPGA Design. Part I - Hardware Components. Thomas Lenzi

Using on-chip Test Pattern Compression for Full Scan SoC Designs

FPGA Implementation of DA Algritm for Fir Filter

4 of 40. Multi-ASIC reset synchronization Good Multi-Flip-Flop. Synthesis issues with reset nets. 3 of 40. Synchronous Resets? Asynchronous Resets?

INSTRUCTIONS ANALOG/DIGITAL ATOMIC WATCH GETTING STARTED. Operating buttons and display. Other symbols on the watch face

Training Document for Comprehensive Automation Solutions Totally Integrated Automation (T I A)

A new Interlock Design for the TESLA RF System

VeriLab. An introductory lab for using Verilog in digital design (first draft) VeriLab

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

ZoneTouch V2 Zone Control System User Manual

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

Laboratory Exercise 6

MODEL 2873 Chassis with RS422 CLOCK RECOVERY Module, IOCRM4

Radar Signal Processing Final Report Spring Semester 2017

OpenXLR8: How to Load Custom FPGA Blocks

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE. On Industrial Automation and Control

FPGA Development for Radar, Radio-Astronomy and Communications

Transcription:

Universitatea POLITEHNICA din Bucure?ti Facultatea de Automatic??i Calculatoare Catedra de Calculatoare http://www.csit- sun.pub.ro CALCULATOARE NUMERICE Proiect de semestru anul III Prof. Îndrum?tor: As. Dragos Popescu Studen?i:Ionescu Andreea Florina Pomana Florina Maria Adres? de contact: andreeflorinai@home.ro florina200@yahoo.com Bucure?ti 2004

Tema proiectului: Sistem de alarma pentru locuinta Abstract in limba romana Proiectul reprezinta un sistem simplu de alarma pentru o locuinta, care afiseaza mesaje de atentionare in cazul primirii unor semnalede la niste senzori. Mesajele de atentionare sunt specifice combinatiei de semnale primite. Pentru implementare s-a folosit o placuta programabila Xilinx Spartan2E, care a fost programata in Verilog HDL. Abstract în limba englez? Our project presents a simple alarm system for a household, which displays warning messages in case it receives input from several sensors. The warning messages are specific for each combination of inputs. For implementation we used a programmable Xilinx Spartan2E board, which was programmed using Verilog HDL. C U P R I N S 1. System overview 2. User interface 3. Structure 4. Results 5. Conclusons and further developments

System overview The security system implemented in our project is a basic security system, which can be used to protect a household. It accepts inputs from eight sensors (which are simulated by the switches on the DigilabIO board). According to the given signals, the system displays a warning message on the LCD display. The system is implemented in a very simple way, using only one module, which displays a different message for each combination of input signals. User interface The hardware user interface consists of the following inputs: the eight switches on the DigilabIO board, which simulate inputs from different sensors: 1. the first door 2. the second door 3. the first window 4. the second window 5. the fridge 6. the water tap 7. the gas cooker 8. the electric switch board the first button on the DigilabIO which is the RESET button the LCD display on which all the messages are shown

Structure The system is structured as a single module which has 3 inputs and 1 output, as in the following figure: Based on the received inputs (the in vector), the system selects which message to display.then the message is displayed on the LCD. It is synchronous, working on the upper edge of the clock signal(clk). The RESET signal can be applied asinchronously, by pushing the first button on the DigilabIO board. The RESET signal resets the LCD and the counter which is used to slow down the clock on the board, to allow the LCD display to function properly. The db vector represents the output of the module, which controls the LCD display. When the RESET button is pushed, the diplay is initialized, then the appropriate message is displayed. The messages are different for different input signals and for combinations of signals. If there is only one active input, the message warns the user about the source of potential danger. For eaxmple: Inchide usa 1 - Close first door or Inchide frigiderul - Close the fridge. If there are two active signals, the message specifically warns about each of them (e.g.: Inchide usa

1 si 2 - Close first and second doors or Stinge aragazul si lumina - Turn off the gas cooker and the electricity ). For three or more active signals at the same time, the message is the same ( Alarma generala - General alarm ). A problem we encountered in using this approach of creating a single module (which we chose for its simplicity) is that this module has grown quite extensive and it takes up a lot of the computer's resources (CPU and memory) during synthesis. Results The results we obtained in simultions are presented in the following images (the simulations were made using a copy of the module in which the counter for slowing down the clock was not used). The first image presents the output obtained for a sigle active input (the third bit in in - which signifies the first window). The second image presents also the case of only one active input. As the message to be displayed is short, after the last valid character of the message is displayed the LCD is disabled.

The third image presents the case of three active inputs (the first door and the two windows). Conclusions and further developments In today's unsafe world, everyone would like to have at least a little security, to be sure that his household can not be attacked by anyone. And in this quest, technology has come to play a very impoartant role. People are designing everyday new and more performant gadgets which are present in every aspect of our life and without which we cannot concieve to live any more. And security is a field in which technology can definitely play a key role. Our project is a first step in that direction. It can be used to display warning messages to the user if the user is near the display. One important development which can be added to the project would be a means to deliver these messages to the user

when he is not near the display of the alarm. One possible idea to obtain this would be using a software which would send messages over the internet to a mobile phone or to a computer and which would allow the user to find out what is going on in his house, which would make him feel a lot safer. And safety is something everyone looks for.